Concepts and Terms
1. Fundamental Semiconductor Concepts
Device Structures
- Transistor - Basic switching element in digital circuits
- Gate - Control electrode that switches transistor on/off; the critical 2nm feature
- Source/Drain (S/D) - Regions where current flows in/out of transistor
- Channel - Region under gate where current flows between source and drain
- Gate length - Physical length of gate; determines transistor performance
- Pitch - Center-to-center spacing between repeated features
- FinFET - 3D transistor with vertical "fin" structure
- Gate-All-Around (GAA) - Advanced transistor where gate wraps around channel
- Planar transistor - Simple, flat transistor design
- Silicon-on-Insulator (SOI) - Si layer on insulating substrate
Materials
- Silicon (Si) - Primary semiconductor material; what transistors are made of
- Copper (Cu) - Primary metal for interconnects (wires between transistors)
- Tungsten (W) - Metal for contacts and local interconnects
- Aluminum (Al) - Alternative interconnect metal
- Gold (Au) - Used in bonding/sealing
- Titanium (Ti) - Barrier metal; also used as getter
- Zirconium (Zr) - Alternative getter material
- Tantalum (Ta) - Barrier metal (prevents Cu diffusion)
- Diamond - Thermal conductor
- Graphene - Alternative thermal spreader material (single layer of carbon atoms)
Dielectrics (Insulators)
- Dielectric - Insulating material between conductors
- Silicon Dioxide (SiO₂) - Traditional insulator
- High-k dielectric - Insulator with high dielectric constant; used for gate dielectric
- Dielectric constant (k) - Measure of how well material stores electrical energy
- Aluminum Oxide (Al₂O₃) - Passivation material; also called alumina
- Silicon Nitride (SiN) - Alternative passivation/encapsulation material
- Inter-Layer Dielectric (ILD) - Insulation between metal layers
- Gate dielectric - Insulator between gate and channel
Doping & Carriers
- Doping - Adding impurities to Si to control conductivity
- N-type - Silicon doped with extra electrons (donors)
- P-type - Silicon doped with "holes" (electron acceptors)
- Ion implantation - Traditional method: shoot ions into Si to dope it
- Gas-phase doping - Alternative: expose Si to doping gas in vacuum
- Junction - Boundary between P and N regions
- Carrier - Mobile charge (electron or hole) that conducts current
- Mobility - How easily carriers move through material
Speech Content
Let's dive into the fundamental concepts that make modern semiconductors work, focusing on device structures, materials, dielectrics, and doping. These are the building blocks for any fab you might build, whether on Earth or eventually on the Moon.
Core concepts: transistors, gates, source and drain regions, channels, gate length, pitch, FinFETs, Gate-All-Around transistors, planar transistors, Silicon-on-Insulator. Materials: silicon, copper, tungsten, aluminum, gold, titanium, zirconium, tantalum, diamond, graphene. Dielectrics: silicon dioxide, high-k dielectrics, aluminum oxide, silicon nitride. Doping: n-type, p-type, ion implantation, gas-phase doping, junctions, carriers, mobility.
Device Structures
Let's start with the transistor, the basic switching element in every digital circuit. A MOSFET, or metal oxide semiconductor field effect transistor, has four key parts: the source and drain regions where current flows in and out, the channel between them where current actually travels, and the gate electrode that sits above the channel and controls whether current flows. The gate is separated from the channel by a thin insulating layer called the gate dielectric.
When we talk about a 2-nanometer node, we're really talking about the gate length, the physical dimension of the gate along the direction of current flow. But here's a subtlety: at the 2-nanometer node, the actual physical gate length is closer to 12 nanometers. The "2 nanometer" refers to the equivalent electrical behavior due to quantum mechanical effects. This matters because as transistors shrink, short-channel effects, drain-induced barrier lowering, and quantum tunneling start to dominate.
Pitch is different from feature size. Pitch means the center-to-center spacing between repeated features, typically about one and a half to two times the minimum feature size. At TSMC's N3 node, the metal pitch is around 48 nanometers, while N2 will be around 40 nanometers. This metal pitch often determines what we call a technology node more than the gate length itself.
The evolution of transistor architectures tells an important story. Planar transistors, used before the 22-nanometer node, are simple and flat. But below 30-nanometer gate lengths, they suffered from poor electrostatic control. The gate couldn't effectively turn the channel off, leading to high leakage current. Intel introduced FinFETs at their 22-nanometer node in 20 11. A FinFET uses a vertical silicon fin with the gate wrapped around three sides, giving much better control. This reduced leakage by about 10 times compared to planar designs.
Now we're moving to Gate-All-Around or GAA transistors, also called nanosheets. Samsung launched these at 3 nanometers in 20 22, and TSMC's N2 node coming in 20 25 will use them. In GAA, the gate completely wraps around horizontal silicon nanosheets, giving even better electrostatic control. The width of these sheets can be tuned to optimize for either performance or density. Looking ahead, we'll see forksheet designs with separate n-type and p-type regions, and CFET, which stacks complementary transistors vertically.
Silicon-on-Insulator, or SOI, deserves mention. This uses a buried oxide layer beneath the active silicon. The benefits include about 30 percent lower parasitic capacitance and immunity to a failure mode called latch-up. Fully-depleted SOI, or FD-SOI, from companies like GlobalFoundries at 22 nanometers, competed with FinFETs by allowing dynamic performance tuning through body biasing. The downside is cost: SOI wafers run one thousand to two thousand dollars compared to one hundred to three hundred for bulk wafers. On the Moon, making SOI is complicated because you need oxygen for the buried oxide layer, or you need wafer bonding capabilities. You might instead back-grind bulk wafers to thin layers, but that makes them mechanically fragile.
For FinFETs specifically, the fin width is typically 5 to 8 nanometers, and the height is 40 to 60 nanometers. Making these requires patterning bulk silicon using either self-aligned quadruple patterning or EUV lithography, then etching with anisotropic reactive ion etching. Challenges include fin roughness causing variation in how easily current flows, and the high aspect ratio complicating doping. Ion implantation has a shadowing problem with tall fins, so you need plasma doping or in-situ doped epitaxy instead.
If you're building a Western fab to compete with TSMC, FinFET technology is mature. You can license designs from Intel or Samsung. Key talent is in Oregon where Intel is based, Austin for Samsung, and Albany Nanotech in New York. Moving to GAA is more complex: you need to do a selective etch to release the nanosheets by removing sacrificial silicon germanium layers, form inner spacers, and ensure the gate wraps around completely. This requires very conformal atomic layer deposition, or ALD.
Materials
Silicon is the foundation. You start with metallurgical-grade silicon at 98 percent purity, made by reducing quartz, silicon dioxide, with carbon. That costs about two dollars per kilogram. To get electronic-grade polysilicon at 11-nines purity, you use the Siemens process, depositing silicon from trichlorosilane gas. This costs 15 to 30 dollars per kilogram. Then you grow single-crystal ingots using the Czochralski method, where you pull a seed crystal slowly from molten silicon. Western suppliers include Hemlock in the US and Wacker in Germany.
On the Moon, silicon dioxide makes up about 45 percent of the regolith by mass. You can do carbothermal reduction, heating silicon dioxide with carbon to produce silicon and carbon dioxide. The challenge is you'd need to import carbon, or process other minerals like anorthite or ilmenite. The Siemens process needs chlorine, which is scarce on the Moon. Alternatives include direct electrolysis or using metals like calcium or magnesium to reduce silicon dioxide. Growing ingots in one-sixth gravity reduces convection, which actually helps with defect control, but you'd need to redesign the pulling equipment.
Copper replaced aluminum for interconnects, the wiring between transistors, back in 19 97 when IBM introduced it. Copper has lower electrical resistivity, 1.68 micro-ohm-centimeters versus 2.65 for aluminum, and better resistance to a failure mode called electromigration where metal atoms gradually move under current stress. The damascene process is used: you etch a trench in the insulating layer, deposit a thin barrier layer of tantalum or tantalum nitride, about 2 to 3 nanometers thick, to prevent copper from diffusing into silicon, then fill with copper by electroplating, and polish flat with chemical-mechanical polishing, or CMP.
At pitches below 10 nanometers, the barrier thickness becomes a problem. It takes up 40 percent of the cross-section, increasing resistance. Ruthenium is being explored as a barrierless metal, but its bulk resistivity is higher. At the nanoscale, grain boundary scattering dominates. Lines under 10 nanometers can show 3 to 5 times higher resistivity than bulk copper.
For a Western fab, copper electroplating vendors include Atotech and Enthone. Barrier ALD equipment comes from Applied Materials and Lam Research. On the Moon, you'd extract copper from lunar basalt, which contains about 100 to 200 parts per million. Electroplating traditionally needs aqueous chemistry, which is challenging in vacuum. Dry alternatives include physical vapor deposition, or PVD, with reflow, or chemical vapor deposition using precursors like copper hexafluoroacetylacetonate. Working in vacuum eliminates oxidation, so copper might not need passivation if it never sees atmosphere.
Tungsten is used for contacts and vias, the small vertical connections under 50 nanometers in diameter. It's deposited by CVD from tungsten hexafluoride gas. The reaction is tungsten hexafluoride plus hydrogen producing tungsten metal and hydrogen fluoride. A titanium nitride liner prevents the fluorine from attacking silicon. Tungsten's resistivity is 5 to 10 micro-ohm-centimeters, higher than copper, but acceptable for short vertical paths. On the Moon, fluorine is scarce, so you might use chloride precursors instead, or reduce tungsten oxides directly, though tungsten minerals are unlikely on the Moon and would need to be imported.
Aluminum is the legacy interconnect metal, still used for top-level bonding pads. It's deposited by PVD sputtering. Alloys with 0.5 to 2 percent copper and 1 percent silicon improve electromigration resistance. It's cheap at 2 to 5 dollars per kilogram. On the Moon, aluminum is abundant in anorthite, a mineral with the formula calcium aluminum silicate. Lunar regolith contains about 14 percent aluminum oxide. You can extract it using molten salt electrolysis or processes similar to the Bayer process with sodium hydroxide, though sodium would need to be imported initially.
Barrier metals like tantalum and titanium prevent copper or tungsten from diffusing into silicon, which would create deep-level traps that kill device performance. Tantalum or tantalum nitride is most common, deposited by PVD or ALD. ALD can achieve conformal layers under 2 nanometers using precursors like tantalum pentachloride and ammonia. These metals come from ores: tantalite for tantalum, ilmenite for titanium. On the Moon, ilmenite is abundant in the lunar maria at 10 to 18 percent. You can extract titanium by carbothermal or hydrogen reduction. Tantalum is rare and would need to be imported.
Gold is used for wire bonding and flip-chip solder bumps. It costs about 60 thousand dollars per kilogram and has a risk of migration, so it's limited to packaging areas. On the Moon, you'd import it, as there are only trace concentrations. Aluminum bonding with ultrasonic wedge bonders is an alternative.
Getters are reactive metals like titanium or zirconium that absorb residual gases like water, oxygen, and nitrogen inside vacuum packages. This maintains pressure below 10 to the minus 6 Torr over the device lifetime. They're activated by heating to 300 to 400 degrees Celsius after sealing. On the Moon, titanium is abundant but zirconium is scarce. Interestingly, vacuum packages sealed in lunar ultra-high vacuum might not need getters at all if they're never exposed to Earth's atmosphere. Cold-welded vacuum packages would be inherently cleaner.
Diamond and graphene are explored for thermal management. Diamond has thermal conductivity around 2000 watts per meter-kelvin, graphene around 3000 to 5000, compared to silicon's 150. Diamond films are grown by CVD from methane and hydrogen plasma. Challenges include stress, adhesion, and surface roughness. Graphene is grown by CVD on copper foil, then transferred to the device, which risks contamination. On the Moon, carbon is a critical import bottleneck. An alternative is using the Moon's natural vacuum for direct radiative heat transport to radiators, since there's no convection or conduction atmosphere.
Dielectrics
Dielectrics are insulators. Silicon dioxide is the classic one. It forms naturally on silicon in the presence of oxygen or water as a native oxide about 2 nanometers thick. Thermal oxidation, heating silicon in dry oxygen at 900 to 1100 degrees Celsius, grows thicker layers for isolation and gate dielectrics. The reaction happens at the silicon-silicon dioxide interface following the Deal-Grove model. Silicon dioxide has excellent interface quality with very low defect density, fewer than 10 to the 10 per square centimeter. Its dielectric constant, k, is about 3.9. But it breaks down at about 1 nanometer equivalent oxide thickness due to quantum tunneling.
High-k dielectrics replaced silicon dioxide at the 45-nanometer node. Hafnium dioxide has k around 25, aluminum oxide around 9, zirconium dioxide around 25. These allow physically thicker layers for the same electrical thickness, reducing gate leakage by 100 times. Hafnium dioxide is deposited by ALD using precursors like tetrakis dimethylamino hafnium and water at 300 degrees Celsius. Challenges include mobility degradation from remote phonon scattering and threshold voltage instability from charge trapping. You also need metal gates like titanium nitride or tantalum nitride instead of polysilicon to avoid Fermi-level pinning. Western suppliers of precursors include Entegris and Versum. On the Moon, hafnium is rare and would need importing. Aluminum oxide from lunar anorthite is an alternative, with lower k but acceptable for analog applications.
Inter-layer dielectrics, or ILD, insulate the metal wiring layers. Low-k materials with k below 3 reduce parasitic capacitance. Silicon oxycarbide with hydrogen, deposited by plasma-enhanced CVD from organosilane precursors, has k around 2.5 to 3.0. Porous versions reach k around 2.0 to 2.5 by introducing voids, but they're mechanically weak. On the Moon, hydrogen and carbon are critical and need closed-loop recycling. An exciting possibility is using vacuum itself as the dielectric. If devices are processed and sealed entirely in vacuum, you could have interconnects with k equal to 1, a radical simplification.
Silicon nitride is used for passivation, encapsulating devices to prevent moisture and ion ingress. It's deposited by plasma-enhanced or low-pressure CVD from silane and ammonia. Low-pressure CVD at 750 to 900 degrees gives higher quality but is slower. On the Moon, nitrogen would need to be imported and recycled. But if devices are sealed in lunar ultra-high vacuum from the start, passivation might be completely unnecessary. Cold-welded metal lids in vacuum would eliminate the need for polymer or nitride coatings.
Doping and Carriers
Doping means adding impurities to silicon to control its electrical conductivity. N-type silicon is doped with elements like phosphorus or arsenic that donate extra electrons. P-type is doped with boron, which accepts electrons, creating "holes" that act as positive charge carriers.
Ion implantation is the traditional method. You accelerate dopant ions to 1 to 200 kilo-electron-volts and shoot them into the silicon. Depth is controlled by energy, dose by beam current times time. This damages the crystal, so you need thermal annealing at 1000 to 1100 degrees Celsius to repair the lattice and electrically activate the dopants. For ultra-shallow junctions under 10 nanometers needed in FinFETs, you need low energies below 1 kilo-electron-volt, but beam divergence becomes a problem. Molecular ions, like boron hydride clusters, help because the boron ends up at the surface when the molecule breaks apart. Tool vendors include Applied Materials and Axcelis. On the Moon, high-energy ion beams work well in vacuum since there's no charge neutralization issue. Annealing furnaces would need vacuum or inert gas like nitrogen or argon, which would be imported.
Gas-phase doping exposes silicon to doping gases like arsine, phosphine, or diborane at 600 to 800 degrees Celsius. Diffusion drives the dopants in. Monolayer doping is a variant: you adsorb a dopant-containing molecule on an oxide surface, drive it in with rapid thermal annealing, then strip the oxide. This achieves ultra-abrupt profiles. In-situ doped epitaxy is used for FinFET source and drain regions: you grow silicon or silicon germanium selectively in CVD while flowing a doping gas. This avoids implant damage and works better for 3D structures. On the Moon, toxic hydrides are difficult to synthesize, requiring hydrogen plus arsenic or phosphorus at high temperature. Alternatives include solid-source diffusion from doped glasses, though that's slower.
Carrier physics: electrons in bulk silicon have mobility around 1400 square centimeters per volt-second, but in inversion layers near the surface, it drops to 300 to 500 due to surface roughness scattering. Holes have lower mobility, around 450. FinFET sidewalls oriented in the 110 crystal direction have higher electron mobility than 100 planar surfaces. Strain engineering using silicon germanium in the source and drain for p-type FETs, or silicon carbide for n-type, enhances mobility by 20 to 50 percent. High-k metal gates degrade mobility through remote phonon scattering and scattering from charged traps.
Junction formation requires abrupt doping changes over less than 5 nanometers for good short-channel control. This is achieved with spike annealing, ramping to 1050 degrees in 1 second then cooling immediately, or laser annealing with millisecond pulses that melt and regrow the surface. There's a trade-off between activating dopants and preventing them from diffusing. Flash annealing uses millisecond lamp pulses. On the Moon, laser annealing is advantageous since electrical power is abundant from solar. Furnace annealing would require gas handling infrastructure.
Moon-Specific Insights
The lunar surface offers ultra-high vacuum, around 10 to the minus 12 Torr. This eliminates the need for vacuum chambers during processing. Tools can be open-frame. There's no oxidation or contamination between steps. Cold welding, where clean metal surfaces bond at room temperature in ultra-high vacuum, enables vacuum packaging without traditional hermetic sealing. Devices can operate in vacuum with no dielectric breakdown from humidity, allowing higher voltage operation. Passivation layers become unnecessary. You could even route signals through vacuum with minimal capacitance, using vacuum as your dielectric with k equal to 1.
The material constraint on the Moon is volatiles: hydrogen, carbon, nitrogen, chlorine, fluorine. These are scarce and must be imported or recycled. This impacts etching gases like carbon tetrafluoride, nitrogen trifluoride, and chlorine; CVD precursors like silane and tungsten hexafluoride; and doping gases. Opportunities include developing dry etch alternatives like ion milling, solid-state diffusion sources, and metallothermal reductions that don't need volatile reagents. Metals are abundant: aluminum, titanium, and iron from regolith; silicon from silicates. Processing methods include carbothermal reduction, molten salt electrolysis, and hydrogen reduction.
Thermal management is challenging because there's no convection, only radiative cooling. Large radiators are required. But silicon processing benefits from stable, controllable temperatures. Czochralski crystal pulling with reduced convection minimizes defects, though it requires redesigned heaters.
A simplified process flow is essential. Target under 50 process steps versus the 500-plus on Earth. Strategies: use thicker structures with relaxed lithography requirements, fewer metal layers, eliminate redundant cleans and passivation. An example process: planar transistors with gas-phase doping to avoid implantation and annealing, a single copper metal layer with vacuum dielectric, and cold-welded packaging in vacuum. Applications would be radiation-hard chips for lunar infrastructure, not cutting-edge logic.
Western Fab Competitive Strategies
To compete with TSMC, which dominates through EUV lithography with 7-plus layers at N3, advanced packaging like CoWoS and SoIC, and mature yield, Western fabs need different strategies. Advantages include proximity to customers like hyperscalers and defense and supply chain security concerns.
Chiplets are one approach. Instead of a monolithic die, you disaggregate into smaller chiplets connected via high-bandwidth interposers like silicon bridges or organic substrates. This reduces yield loss because defects only affect one chiplet, and you can mix and match IP blocks. The UCIe standard from 20 22 defines die-to-die interconnect. Intel's Foveros and AMD's Infinity Fabric are examples. It's easier to start small and scale with chiplets than to master a leading-edge monolithic process immediately.
Vacuum-integrated processing is another strategy. Multi-chamber cluster tools from Applied Materials' Endura line or Lam's Coronus link deposition, etch, and clean without air exposure. Extend this concept to the entire fab: wafers never leave vacuum from bare silicon to diced chips. This eliminates cleans, passivation, and particle contamination.
Cold welding for packaging: bond metal lids or caps in vacuum via diffusion bonding of gold-gold or copper-copper at 200 to 300 degrees Celsius. This creates a hermetic seal without polymers or solders and enables vacuum-packaged chips.
Focusing on analog and RF is strategic. TSMC is optimized for digital logic. RF applications for 5G and satellite, power devices in silicon carbide and gallium nitride, and automotive applications are less mature. Gallium nitride on silicon and silicon carbide MOSFETs require specialized epitaxy and high-temperature processing. Western companies include Wolfspeed for silicon carbide wafers and IQE for gallium nitride epitaxy.
The chiplet ecosystem requires standardized interfaces like UCIe physical layer and protocol, advanced packaging where TSMC and Intel lead, and thermal management since chiplet density increases power density. Opportunities include AI-optimized chiplets for SRAM, HBM controllers, and domain-specific accelerators, plus heterogeneous integration combining silicon logic with gallium nitride RF and photonics. Western talent is in Silicon Valley for chiplet startups and Intel's Arizona and Oregon sites.
For cold welding, gold-gold thermocompression bonding is used in hybrid bonding like TSMC's SoIC. Copper-copper bonding at wafer-level enables 3D stacking. At pitches below 10 micrometers, you need surface roughness under 20 nanometers and particle-free conditions. Tools come from Applied Materials and Besi. On the Moon, ultra-high vacuum bonding is ideal with no oxide formation. For an Earth fab, vacuum packaging via cold-welded lids allows higher voltage operation and eliminates wirebond or solder reliability issues. Markets include automotive with 200-degree Celsius junction temperatures and aerospace.
Vacuum dielectric means replacing inter-layer dielectrics with air gaps or pure vacuum. Challenges include mechanical support and CMP compatibility. A hybrid approach uses sparse silicon dioxide pillars in vacuum, enabling 50 percent capacitance reduction. TSMC explored this at 7 nanometers but had yield issues. A Western opportunity is optimizing for chiplets with shorter interconnects and fewer layers, or for analog with larger geometries where vacuum integration is easier.
Keep-in-vacuum processing requires cluster tools with load-locks and robot handlers. Applied's Centura for deposition and Lam's Kiyo for etch are already multi-chamber. Extend via vacuum interconnects between tools, with wafer carriers in vacuum tunnels. Benefits include eliminating native oxide regrowth, which is critical for metal contacts, reducing defects, and skipping wet cleans. Challenges are maintenance, since opening chambers exposes them to air, and throughput due to serial processing. The opportunity is a greenfield fab designed around vacuum integration from the start, modular and expandable. Talent is at IMEC in Belgium, which pioneered cluster tools. Equipment partners could be Applied Materials and Lam for customization.
AI and Automation Opportunities
AI-driven process optimization combines TCAD simulation tools like Sentaurus or Silvaco with machine learning models to predict device performance from process parameters. Bayesian optimization explores the parameter space, such as doping profiles and annealing temperatures, faster than traditional design of experiments. For example, optimize FinFET spacer width for the speed versus leakage trade-off using neural surrogate models. Atomica, a startup running an analog and MEMS fab, uses machine learning for yield prediction. The opportunity is a foundry-as-a-service with an AI loop where customers submit designs, AI optimizes the process recipe, and rapid tapeout follows.
Automated defect inspection: optical and e-beam inspection generate terabytes per day of images. Convolutional neural networks classify defects like particles, bridges, and voids 10 times faster than human review. KLA and Applied Materials tools integrate machine learning. The opportunity is real-time defect feedback to upstream process tools, closing the loop within hours instead of days.
Mature robotics, whether humanoid or specialized, enables flexible automation. Robots can retool for new processes without hardcoded paths, with adaptive grasping for varied wafer carriers. They can service tools, replacing consumables and cleaning chambers in vacuum without shutting down the entire fab. For a lunar fab, teleoperated robots bootstrap initial infrastructure and transition to autonomy. Mini-fabs with under 10 thousand wafers per month become viable with robotic labor replacing human cleanroom workers, shifting economics toward Western high-mix, low-volume markets.
Historical and Novel Approaches
Several abandoned ideas are worth revisiting. X-ray lithography using synchrotrons offered sub-10-nanometer resolution without EUV complexity. It was abandoned due to mask cost and infrastructure. Modern compact X-ray sources using laser-plasma and ML-designed masks could revive it. On the Moon, a synchrotron in vacuum is straightforward.
Selective epitaxial growth means growing silicon or silicon germanium only in trenches, avoiding lithography and etching. It requires pristine surface selectivity, improving now with ALD inhibitors.
Electrochemical doping applies voltage in an electrolyte to inject dopants at room temperature, creating abrupt junctions. It was abandoned due to contamination but could be revisited with ultra-high vacuum electrochemistry.
Ion-cut layer transfer, like Soitec's SmartCut process for SOI, can transfer gallium nitride onto silicon or indium phosphide photonics onto silicon for heterogeneous integration. Costs are decreasing and it's becoming high-volume manufacturing ready.
Emerging research includes 2D semiconductors like molybdenum disulfide and tungsten diselenide. These atomically thin channels are immune to short-channel effects. Issues are contact resistance and synthesis scale. TSMC is exploring them for post-3-nanometer nodes. Western talent is at MIT and Stanford and is recruitable.
Cryogenic CMOS operates at 4 Kelvin for quantum computing control. Silicon mobility increases 3 times, and subthreshold swing improves. It requires redesigned interconnects using superconducting aluminum and vacuum cryostat packaging. Intel and IBM are prototyping.
Atomically precise manufacturing uses hydrogen depassivation lithography via scanning tunneling microscopes to place dopants with atomic precision. It's slow but deterministic, relevant for qubits, not high-volume manufacturing.
Direct-write e-beam using multibeam mask writers from IMS Nanofabrication achieves wafer-scale patterning without masks. It's 10 times slower than EUV but eliminates the 150-million-dollar mask cost. It's viable for prototyping and custom ASICs.
Novel ideas include vacuum transistors with nanogaps under 10 nanometers between electrodes in vacuum where electrons tunnel ballistically. There's no channel material and no doping. They're radiation-hard and high-speed. NASA explored them for space, worth revisiting for the Moon. Challenges are gap uniformity and current density.
Fluidic self-assembly mixes chiplets in liquid that self-align via shape and surface energy to an interposer, enabling massively parallel assembly. It's been demonstrated for LEDs by X-Celeprint and could extend to logic chiplets.
Thermal doping uses rapid thermal annealing to create metastable dopant supersaturation, enabling ultra-high concentrations for low-resistance contacts. It's at the research stage, but ML-optimized recipes could enable high-volume manufacturing.
For chiplets specifically, advanced packaging is dominated by TSMC and Intel. Western opportunities include organic interposers, cheaper than silicon bridges, with embedded passives like capacitors and inductors for power delivery. Promex Industries is a startup in this area. Micro-bumps with pitch under 20 micrometers using copper pillars require fine-pitch lithography, which can be adapted from the PCB industry's laser direct imaging.
Talent and Ecosystem
Key talent pools for process integration are at IMEC in Belgium, Albany Nanotech in New York, and among TSMC alumni in Austin and Portland. Device physics expertise is at Stanford, MIT, and Berkeley. Equipment talent is at Applied Materials in Santa Clara and Lam Research in Fremont. Recruiting strategies include offering equity, technical leadership roles, and unique problems like building a Moon fab. Challenges include TSMC's strong retention packages and visa restrictions for foreign talent.
Western equipment vendors include Applied Materials and Lam Research in the US, dominating deposition and etch. ASML in the Netherlands has a monopoly on EUV. Inspection comes from KLA in the US. CMP from Applied and Ebara in Japan. Non-EUV lithography from Canon in Japan and ASML. Electroplating from Atotech in the US and Germany, and Lam. The opportunity is equipment startups for vacuum-integrated processing and chiplet bonding, as incumbents are slow to innovate.
Materials supply: gases from Linde and Air Liquide in the US and EU. Precursors from Entegris and Versum, now Merck, in the US. Wafers from GlobalWafers in Taiwan with an Arizona fab planned, and Shin-Etsu in Japan. Western supply chains are weak for wafers and precursors. China dominates rare earths including hafnium and tantalum. Strategic approaches include securing tantalum and hafnium recycling, recovering metals from etch chamber cleaning, and domestic polysilicon from Hemlock.
Moon-specific talent requires cross-disciplinary expertise in semiconductors and space systems. Recruit from aerospace companies like SpaceX and Blue Origin, and from fabs. Robotics talent from Boston Dynamics and NASA JPL. In-situ resource utilization expertise from Colorado School of Mines and NASA labs.
Summary Review
Let's review the core concepts. Transistors are switches with source, drain, channel, and gate. FinFETs use vertical fins, GAA wraps the gate around nanosheets. Silicon is purified from quartz via the Siemens process. Copper interconnects need tantalum barriers and are deposited by damascene electroplating. Tungsten fills vias via CVD. High-k dielectrics like hafnium dioxide replaced silicon dioxide to reduce gate leakage. Ion implantation shoots dopants into silicon; gas-phase doping is an alternative. N-type and p-type doping create electrons and holes as carriers. Mobility is how easily carriers move.
On the Moon, ultra-high vacuum enables processing without chambers, cold welding for packaging, and vacuum dielectrics. Volatiles are scarce; metals abundant. Simplified processes target radiation-hard applications.
Western fabs can compete via chiplets, vacuum-integrated processing, cold-welded packaging, and focusing on analog and RF. AI optimizes processes and defect inspection. Robotics enable flexible automation and mini-fabs.
Historical ideas like x-ray lithography and selective epitaxy are worth revisiting. Emerging research includes 2D semiconductors, cryogenic CMOS, and atomically precise manufacturing. Novel ideas include vacuum transistors and fluidic self-assembly.
Key talent is in Silicon Valley, Oregon, Austin, Albany, and IMEC. Equipment from Applied Materials, Lam Research, ASML. Western supply chains need strengthening, especially for rare earths.New
terms and jargon: MOSFET, FinFET, GAA or gate-all-around, SOI or silicon-on-insulator, Czochralski method, damascene process, ALD or atomic layer deposition, PVD or physical vapor deposition, CVD or chemical vapor deposition, CMP or chemical-mechanical polishing, high-k dielectric, ILD or inter-layer dielectric, ion implantation, monolayer doping, UCIe standard, CoWoS and SoIC packaging, TCAD simulation, IMEC research center.
Technical Overview
Device Structures
Transistor Fundamentals: MOSFETs are voltage-controlled switches with source, drain, channel, and gate. Gate voltage modulates channel conductivity via field effect. Gate length (Lg) determines switching speed and leakage; sub-2nm nodes use ~12nm physical gates with equivalent electrical lengths of 2nm due to quantum effects. Channel length modulation, drain-induced barrier lowering (DIBL), and short-channel effects dominate at these scales.
Pitch vs Feature Size: Pitch (center-to-center spacing) typically 1.5-2× the minimum feature size. Metal pitch constraints often determine node naming more than gate length. TSMC N3 has ~48nm metal pitch, N2 has ~40nm.
Planar → FinFET → GAA Evolution: Planar transistors (pre-22nm) suffered electrostatic control degradation at <30nm gates. FinFETs (Intel 22nm, 2011) use vertical Si fins with tri-gate control, improving subthreshold swing and reducing leakage by ~10×. Gate-All-Around (GAA)/nanosheet (Samsung 3nm, 2022; TSMC N2, 2025) wraps gate around horizontal nanosheets, providing superior electrostatics. Width-tunable for performance/density optimization. Future: Forksheet (gate-all-around with separate N/P regions), CFET (complementary FET with vertical stacking).
SOI Benefits: Silicon-on-Insulator uses buried oxide (BOX) layer beneath active Si. Reduces parasitic capacitance (~30%), improves latch-up immunity, enables fully-depleted devices. FD-SOI (GlobalFoundries, 22nm) competed with FinFETs via body biasing for dynamic performance tuning. Higher substrate cost ($1000-2000 vs $100-300 for bulk wafers) limited adoption. Moon: SOI manufacturing requires oxygen (for BOX) or wafer bonding—complex. Alternative: back-grinding bulk wafers to thin layers, but mechanically fragile.
FinFET Specifics: Fin width 5-8nm, height 40-60nm. Fabrication: bulk Si patterned via self-aligned quadruple patterning (SAQP) or EUV lithography, then anisotropic RIE. Challenges: fin roughness causes mobility variation; aspect ratios complicate doping (ion implant shadowing requires plasma doping or in-situ doped epitaxy). Western fab: FinFET is mature; can license from Intel/Samsung. Talent: Oregon (Intel), Austin (Samsung), Albany Nanotech. GAA more complex: nanosheet release etch (remove sacrificial SiGe), inner spacer formation, gate wrap-around requires conformal ALD.
Materials
Silicon Sourcing: Metallurgical-grade Si (98% pure) from quartz reduction (SiO₂ + C → Si + CO₂) costs ~$2/kg. Siemens process (trichlorosilane deposition) produces electronic-grade polysilicon (11-nines purity) at ~$15-30/kg. Czochralski (CZ) pulling grows single-crystal ingots; Float Zone (FZ) for ultra-pure applications. Western suppliers: Hemlock (US), Wacker (Germany). Moon: SiO₂ abundant in regolith (45% by mass); carbothermal reduction possible with imported carbon or anorthite/ilmenite processing. Chlorine for Siemens process scarce; direct electrolysis or metallothermal reduction (Ca, Mg) may substitute. Ingot pulling in 1/6g reduces convection—beneficial for defect control but requires redesigned pullers.
Copper Interconnects: Replaced Al in 1997 (IBM) due to lower resistivity (1.68 vs 2.65 μΩ·cm) and superior electromigration resistance. Damascene process: etch trench in ILD, deposit Ta/TaN barrier (2-3nm) via PVD or ALD to prevent Cu diffusion into Si, fill with Cu via electroplating, CMP polish. Challenges at <10nm pitch: barrier thickness consumes 40% cross-section, increasing resistance. Ruthenium (Ru) explored as barrierless metal but higher bulk resistivity. Grain boundary scattering dominates at nanoscale; <10nm lines show 3-5× resistivity increase. Western fab: Cu electroplating vendors (Atotech, Enthone); barrier ALD (Applied Materials, Lam Research). Moon: Cu from lunar basalt (~100-200ppm); beneficiation required. Electroplating needs aqueous chemistry—challenging in vacuum. Dry alternatives: PVD with reflow, or CVD precursors (Cu(hfac)₂). Vacuum operation eliminates oxidation, enabling Cu passivation-free if never exposed to atmosphere.
Tungsten: Contacts/vias <50nm diameter use W instead of Cu (better gap fill). Deposited via CVD from WF₆: WF₆ + 3H₂ → W + 6HF (nucleation), then WF₆ + 2W → 3W + WF₆ (bulk). TiN liner prevents fluorine attack on Si. Resistivity ~5-10 μΩ·cm (higher than Cu) but acceptable for short vertical paths. Suppliers: Lam Research, Applied Materials CVD tools. Moon: Fluorine scarce; chloride alternative (WCl₆) or metallothermal reduction from tungsten oxides (scheelite analogs unlikely on Moon; import needed).
Aluminum: Legacy interconnect metal; still used for top-level pads (bondable, no electromigration at low current densities). PVD sputtering from Al targets. Alloys with Cu (0.5-2%) and Si (1%) improve electromigration and prevent Si dissolution. Cheap (~$2-5/kg). Moon: Al abundant in anorthite (CaAl₂Si₂O₈); lunar regolith ~14% Al₂O₃. Extraction: molten salt electrolysis or Bayer-like processes with NaOH (Na imported).
Barrier Metals (Ta, Ti): Prevent Cu/W diffusion into Si (creates deep-level traps, kills devices). Ta/TaN most common; deposited via PVD or ALD. ALD enables conformal <2nm barriers: TaCl₅ + NH₃ precursors. Ti used in legacy processes, also as adhesion layers. Both from ores: Tantalite (Ta₂O₅), ilmenite (FeTiO₃). Western: H.C. Starck (Ta powders), ULVAC/AJA (PVD targets). Moon: Ilmenite abundant (lunar mare 10-18%); Ti extractable via carbothermal or H₂ reduction. Ta rare; import.
Gold: Wire bonding (Al or Au wire to pads), flip-chip solder bumps (Au/Sn eutectic), hermetic seal rings. Au migration risk limits use in active areas. PVD or electroplating. ~$60,000/kg. Moon: Import; trace concentrations only. Alternative: Al bonding with ultrasonic wedge bonders.
Getters (Ti, Zr): Reactive metals that absorb residual gases (H₂O, O₂, N₂) in vacuum packages, maintaining <10⁻⁶ Torr over device lifetime. Ti/Zr films activated by heating post-seal (300-400°C); form stable oxides/nitrides. Essential for MEMS, power devices. Western: SAES Getters (Italy). Moon: Zr scarce; Ti abundant. Vacuum packages may not need getters if sealed in lunar UHV and never exposed to Earth atmosphere. Cold-welded vacuum packages inherently cleaner.
Diamond/Graphene Thermal: High thermal conductivity (diamond: 2000 W/m·K, graphene: 3000-5000 W/m·K vs Si: 150 W/m·K) for heat spreading. CVD diamond films grown from CH₄/H₂ plasma; require seeding on Si. Challenges: stress, adhesion, surface roughness. Graphene via CVD on Cu foil, then transfer (PMMA-based) to device—contamination risk. Applications: GaN power devices, RF. Western: Element Six (diamond), Graphenea (graphene). Moon: Carbon import critical bottleneck. Alternative: use Moon's vacuum for direct sublimation-based thermal transport to radiators (no convection/conduction needed).
Dielectrics
SiO₂: Native oxide (~2nm) forms spontaneously on Si in O₂/H₂O. Thermal oxidation (dry O₂, 900-1100°C) grows thicker layers (~5-100nm) for isolation, gate dielectrics (pre-2007). Reaction: Si + O₂ → SiO₂ at Si/SiO₂ interface (Deal-Grove model). Excellent interface quality (<10¹⁰ cm⁻² states). Dielectric constant k≈3.9. Breakdown at ~1nm equivalent oxide thickness (EOT) due to tunneling. Replaced by high-k at 45nm node.
High-k Dielectrics: HfO₂ (k≈25), Al₂O₃ (k≈9), ZrO₂ (k≈25) enable thicker physical layers for same EOT, reducing gate leakage by 100×. HfO₂ ALD: Hf(NMe₂)₄ + H₂O, 300°C. Challenges: mobility degradation from remote phonon scattering, threshold voltage instability from charge trapping. Metal gates (TiN, TaN) required to avoid Fermi-level pinning with polysilicon. Western: Entegris, Versum (precursors); Applied Materials, Lam (ALD tools). Moon: Hafnium rare; import. Alternative: Al₂O₃ from anorthite, lower k but acceptable for analog. ZrO₂ from imported Zr. ALD precursors volatile; closed-loop recycling essential.
ILD Materials: Inter-layer dielectrics isolate metal layers. Low-k materials (k<3) reduce parasitic capacitance, enabling higher speed/lower power. SiOC:H (carbon-doped oxide, k≈2.5-3.0) via PECVD from organosilane precursors. Porous SiOC (k≈2.0-2.5) introduces voids; mechanically weak, requires CMP optimization. Trade-off: lower k vs reliability (cracking, moisture absorption). Applied Materials, Lam Research CVD tools. Moon: Hydrogen, carbon critical; closed-loop recycling. Vacuum operation may enable ultra-low-k (k≈1) by using vacuum as dielectric with optimized geometries—radical simplification.
SiN Passivation: Si₃N₄ (k≈7) encapsulates devices, prevents moisture/ion ingress. PECVD or LPCVD from SiH₄ + NH₃. LPCVD (750-900°C) higher quality but slower. Also used as etch stop, spacer material. Moon: Nitrogen import/recycle critical. Passivation may be unnecessary if devices sealed in lunar UHV from fabrication. Cold-welded metal lids in vacuum eliminate need for polymer/nitride coatings.
Gate Dielectric Scaling: EOT scaling: SiO₂ (1.2nm) → SiON (1.0nm, 65nm node) → HfO₂ (0.8nm, 45nm node) → HfSiON/LaO doping (0.6nm, 22nm). Sub-5nm nodes use multi-layer stacks (interfacial SiO₂ + HfO₂ + capping) to manage work function, interface states. Future: ferroelectric HfZrO₂ for negative capacitance FETs (steep subthreshold slope).
Doping & Carriers
Ion Implantation: Accelerate dopant ions (B⁺, P⁺, As⁺) to 1-200 keV; embed in Si. Depth controlled by energy; dose by beam current × time. Amorphizes Si; requires thermal annealing (1000-1100°C, spike or laser) to repair lattice and activate dopants. Challenges: ultra-shallow junctions (<10nm) for FinFETs require low energy (<1 keV), but beam divergence limits. Molecular ions (B₁₈H₂₂⁺ → B at surface) help. Tools: Applied Materials, Axcelis. Western vendors exist. Moon: High-energy ion beams in vacuum straightforward (no charge neutralization needed). Annealing furnaces require vacuum or inert gas (N₂/Ar import).
Gas-Phase Doping: Expose Si to doping gas (AsH₃, PH₃, B₂H₆) at 600-800°C; diffusion-driven. Monolayer doping (MLD): adsorb dopant-containing monolayer on oxide, drive-in via RTA, strip oxide—achieves ultra-abrupt profiles. In-situ doped epitaxy during selective Si/SiGe growth (e.g., raised source/drain in FinFETs): SiH₄ + PH₃ in CVD chamber. Avoids implant damage, better for 3D structures. Challenges: conformal coverage, lateral diffusion control. Moon: Toxic hydrides require closed-loop recycling; synthesis on Moon difficult (H₂ + As/P elements at high T). Alternatives: solid-source diffusion from doped glasses (PSG, BSG), but slower.
Carrier Physics: Electron mobility in Si: ~1400 cm²/V·s (bulk), reduced in inversion layers (~300-500 cm²/V·s) by surface roughness scattering. Hole mobility lower: ~450 cm²/V·s. FinFETs: (110) sidewalls have higher electron mobility than (100) planar. Strain engineering (SiGe source/drain for pFETs, SiC for nFETs) enhances mobility 20-50%. High-k/metal gate degrades mobility via remote phonon scattering and Coulomb scattering from charged traps.
Junction Formation: Abrupt junctions (doping concentration change over <5nm) essential for short-channel control. Achieved via spike annealing (ramp to 1050°C in 1s, cool immediately) or laser annealing (ms pulses, melt/regrow surface). Trade-off: activation vs diffusion. Flash annealing (Ultratech LSA) uses ms lamp pulses. Western: Screen (laser anneal), Mattson (RTP). Moon: Laser annealing advantageous (electrical power abundant from solar); furnace annealing requires gas handling.
Moon-Specific Insights
Vacuum Advantages: Lunar surface ~10⁻¹² Torr. Eliminates need for vacuum chambers during processing—tools can be open-frame. No oxidation, contamination between steps. Cold welding (metal-metal bonding at room temperature in UHV) enables vacuum packaging without hermetic sealing. Devices can operate in vacuum: no dielectric breakdown from humidity, higher voltage operation. Passivation layers (SiN, polymers) unnecessary if never exposed to atmosphere. Enables "vacuum dielectric" interconnects: air gaps with k=1, or pure vacuum channels—route signals through vacuum with minimal capacitance.
Material Constraints: Volatiles (H, C, N, Cl, F) scarce; must import or recycle. Impacts: etching gases (CF₄, NF₃, Cl₂), CVD precursors (SiH₄, WF₆), doping gases (PH₃, B₂H₆). Opportunities: develop dry etch alternatives (ion milling, plasma-less), solid-state diffusion sources, metallothermal reductions. Metals abundant: Al, Ti, Fe from regolith; Si from silicates. Processing: carbothermal reduction (import C), molten salt electrolysis (import salts initially, recycle), hydrogen reduction (import H₂).
Thermal Management: No convection; radiative-only cooling. Large radiators required. Si processing benefits from stable, controllable temperatures in vacuum. Czochralski pulling: reduced convection minimizes defects but requires redesigned heaters/pullers. Epitaxy: vacuum eliminates particle contamination.
Simplified Process Flow: Target <50 process steps vs 500+ on Earth. Strategy: thicker structures (relaxed lithography), fewer metal layers, eliminate redundant cleans/passivation. Example: planar transistors with gas-phase doping (no implant/anneal), single Cu metal layer with vacuum dielectric, cold-welded package in vacuum. Applications: radiation-hard chips for lunar infrastructure, not cutting-edge logic.
Western Fab Competitive Strategies
Leapfrogging TSMC: TSMC dominates via EUV (7+ layers at N3), advanced packaging (CoWoS, SoIC), mature yield. Western advantages: proximity to customers (hyperscalers), defense/supply chain security. Strategies: (1) Chiplets: Disaggregate monolithic dies into smaller chiplets, connected via high-bandwidth interposers (Si bridge, organic). Reduces yield loss, enables mix-and-match IP. UCIe standard (2022) for die-to-die interconnect. Western: Intel Foveros, AMD Infinity Fabric. Easier to start small, scale with chiplets. (2) Vacuum-integrated processing: Multi-chamber cluster tools (Applied Endura, Lam Coronus) link deposition/etch/clean without air exposure. Extend to entire fab: wafers never leave vacuum from bare Si to diced chips. Eliminates cleans, passivation, particle contamination. (3) Cold welding packaging: Bond metal lids/caps in vacuum via diffusion bonding (Au-Au, Cu-Cu at 200-300°C). Hermetic seal without polymers/solders. Enables vacuum-packaged chips. (4) Analog/RF focus: TSMC optimized for digital logic; RF (5G, satellite), power (SiC, GaN), automotive less mature. GaN-on-Si, SiC MOSFETs require specialized epitaxy, high-temperature processing. Western: Wolfspeed (SiC wafers), IQE (GaN epi).
Chiplet Ecosystem: Requires standardized interfaces (UCIe PHY/protocol), advanced packaging (TSMC, Intel lead), thermal management (chiplet density increases power density). Opportunities: AI-optimized chiplets (SRAM, HBM controllers, domain-specific accelerators), heterogeneous integration (Si logic + GaN RF + photonics). Western: Ayar Labs (optical I/O), Marvell (SerDes IP). Talent: Silicon Valley (chiplet startups), Intel Arizona/Oregon.
Cold Welding: Au-Au thermocompression bonding used in hybrid bonding (TSMC SoIC). Cu-Cu bonding at wafer-level for 3D stacking. At <10 μm pitch, requires <20nm surface roughness, particle-free. Applied Materials, Besi tools. Moon: Ideal for UHV bonding—no oxide formation. Earth fab: vacuum packaging via cold-welded lids allows higher voltage operation, eliminates wirebond/solder reliability issues. Market: automotive (200°C junction temp), aerospace.
Vacuum Dielectric: Replace ILD with air gaps or pure vacuum. Challenges: mechanical support, CMP compatibility. Hybrid approach: sparse SiO₂ pillars in vacuum. Enables 50% capacitance reduction. TSMC explored at 7nm but yield issues. Western opportunity: optimize for chiplets (shorter interconnects, fewer layers) or analog (larger geometries, easier vacuum integration).
Keep-in-vacuum processing: Requires cluster tools with load-locks, robot handlers. Applied Materials Centura (deposition), Lam Kiyo (etch) already multi-chamber. Extend via vacuum interconnects between tools (wafer carriers in vacuum tunnels). Benefits: eliminate native oxide regrowth (critical for metal contacts), reduce defects, skip wet cleans. Challenges: maintenance (chamber opens expose to air), throughput (serial processing). Opportunity: greenfield fab designed around vacuum integration from start—modular, expandable. Talent: IMEC (Belgium) pioneered cluster tools; recruit. Equipment: partner with Applied Materials, Lam to customize.
AI & Automation Opportunities
AI-Driven Process Optimization: TCAD simulation (Sentaurus, Silvaco) + ML models predict device performance from process parameters. Bayesian optimization explores parameter space (doping profiles, annealing temps) faster than DOE. Example: optimize FinFET spacer width for speed/leakage trade-off via neural surrogate models. Startup: Atomica (analog/MEMS fab) uses ML for yield prediction. Opportunity: foundry-as-a-service with AI loop—customers submit designs, AI optimizes process recipe, rapid tapeout.
Automated Defect Inspection: Optical/e-beam inspection generates TB/day of images. CNNs classify defects (particles, bridges, voids) 10× faster than human review. KLA, Applied Materials tools integrate ML. Opportunity: real-time defect feedback to upstream process tools—close loop within hours vs days.
Robotics: Mature robotics (humanoid or specialized) enables: (1) Flexible automation: Retool for new processes without hardcoded paths; adaptive grasping for varied wafer carriers. (2) Maintenance: Robots service tools (replace consumables, clean chambers) in vacuum—no fab-wide shutdown. (3) Lunar fab: Teleoperated robots bootstrap initial infrastructure, transition to autonomy. (4) Mini-fabs: Small-scale fabs (<10k wafers/month) viable with robotic labor replacing human cleanroom workers—economics shift toward Western high-mix/low-volume markets.
Historical & Novel Approaches
Abandoned Ideas Worth Revisiting:
- X-ray lithography: Synchrotron-based, <10nm resolution without EUV complexity. Abandoned due to mask cost, infrastructure. Modern: compact X-ray sources (laser-plasma), ML-designed masks. Moon: Synchrotron in vacuum straightforward.
- Selective epitaxial growth: Grow Si/SiGe only in trenches, avoiding litho/etch. Requires pristine surface selectivity—improving with ALD inhibitors.
- Electrochemical doping: Apply voltage in electrolyte to inject dopants. Room-temperature, abrupt junctions. Abandoned due to contamination; revisit with UHV electrochemistry.
- Ion-cut layer transfer: SmartCut (Soitec) for SOI. Use for heterogeneous integration: transfer GaN onto Si, InP photonics onto Si. Cost decreasing; HVM-ready.
Emerging Research:
- 2D semiconductors (MoS₂, WSe₂): Atomically thin channels, immunity to short-channel effects. Issues: contact resistance, synthesis scale. TSMC exploring for post-3nm. Western: MIT, Stanford labs; talent recruitable.
- Cryogenic CMOS: Operate at 4K for quantum computing control. Si mobility increases 3×, subthreshold swing improves. Requires redesigned interconnects (superconducting Al) and packaging (vacuum cryostats). Intel, IBM prototyping.
- Atomically precise manufacturing: Hydrogen depassivation lithography (Zyvex) places dopants with atomic precision via STM. Slow but deterministic; relevant for qubits, not HVM.
- Direct-write e-beam: Multibeam mask writers (IMS Nanofabrication) achieve wafer-scale patterning without masks. 10× slower than EUV but eliminates $150M mask cost. Viable for prototyping, custom ASICs.
Novel Ideas:
- Vacuum transistors: Nanogaps (<10nm) between electrodes in vacuum; electrons tunnel ballistically. No channel material, no doping. Radiation-hard, high-speed. NASA explored for space; revisit for Moon. Challenges: gap uniformity, current density.
- Fluidic self-assembly: Mix chiplets in liquid; self-align via shape/surface energy to interposer. Massively parallel assembly. Demonstrated for LEDs (X-Celeprint); extend to logic chiplets.
- Thermal doping: Rapid thermal annealing creates metastable dopant supersaturation. Enables ultra-high concentrations for low-resistance contacts. Research-stage; ML-optimized recipes could enable HVM.
Chiplet-Specific: Advanced packaging dominated by TSMC, Intel. Western opportunity: organic interposers (cheaper than Si bridges) with embedded passives (capacitors, inductors) for power delivery. Startup: Promex Industries. Micro-bumps: <20 μm pitch Cu pillars require fine-pitch lithography—adapt from PCB industry (laser direct imaging).
Talent & Ecosystem
Key Talent Pools: Process integration: IMEC (Belgium), Albany Nanotech (NY), TSMC alumni (Austin, Portland). Device physics: Stanford, MIT, Berkeley. Equipment: Applied Materials (Santa Clara), Lam Research (Fremont). Recruiting: Offer equity, technical leadership roles, cutting-edge problems (Moon fab is unique pitch). Challenges: TSMC retention packages, visa restrictions for foreign talent.
Western Equipment: Applied Materials, Lam Research (US) dominate deposition/etch. ASML (Netherlands) monopoly on EUV. Inspection: KLA (US). CMP: Applied, Ebara (Japan). Lithography (non-EUV): Canon (Japan), ASML. Electroplating: Atotech (US/Germany), Lam. Opportunity: Equipment startups for vacuum-integrated processing, chiplet bonding—incumbents slow to innovate.
Materials Supply: Gases: Linde, Air Liquide (US/EU). Precursors: Entegris (US), Versum (US, now Merck). Wafers: GlobalWafers (Taiwan, AZ fab planned), Shin-Etsu (Japan). Western supply chains weak for wafers, precursors; China dominates rare earths (Hf, Ta). Strategic: secure Ta/Hf recycling (etch chamber cleaning recovers metals), domestic polysilicon (Hemlock).
Moon-Specific Talent: Requires cross-disciplinary: semiconductor + space systems. Recruit from aerospace (SpaceX, Blue Origin) and fabs. Robotics: Boston Dynamics, NASA JPL. In-situ resource utilization (ISRU): Colorado School of Mines, NASA labs.
Summary Table: Moon vs Earth Fab
| Aspect | Moon Advantage | Moon Challenge | Earth Western Fab |
|---|---|---|---|
| Vacuum | Free UHV; no chambers, passivation | Tool maintenance in vacuum | Cluster tools; vacuum packaging niche |
| Materials | Al, Ti, Si abundant | Volatiles (H, C, N) scarce; import/recycle | Established supply chains; China risk |
| Thermal | Stable, no convection | Radiative-only cooling | Conventional HVAC |
| Process | Simplified (cold welding, vacuum dielectric) | Develop new methods (dry etch, solid doping) | Leapfrog via chiplets, vacuum integration |
| Talent | Unique recruiting pitch | Small pool; remote work | Large pools (SV, Austin, Albany) |
| Cost | No cleanroom, reduced steps | High transport, bootstrap | High capex ($20B+ for leading-edge) |