Concepts and Terms
20. Industry Standards & Organizations
Standards Bodies
- SEMI - Semiconductor Equipment and Materials International
- ISO - International Organization for Standardization
- ITRS/IRDS - International Technology Roadmap for Semiconductors
- JEDEC - Joint Electron Device Engineering Council
Major Companies
- TSMC - Taiwan Semiconductor Manufacturing Company (leading foundry)
- Intel - US IDM, developing own fabs
- Samsung - Korean IDM
- ASML - Only EUV lithography supplier (Netherlands)
- Applied Materials - Major equipment supplier
- Lam Research - Etch equipment supplier
- Tokyo Electron - Japanese equipment supplier
AI Accelerators
- NVIDIA H100/B100 - Current leading AI chips
- Google TPU - Google's custom AI accelerator
- AWS Trainium - Amazon's custom AI chip
- AMD MI300 - AMD's AI accelerator
Speech Content
Industry Standards and Organizations in Semiconductor Manufacturing
Let's start with a rapid overview of what we'll cover. We're diving into the critical standards bodies that govern semiconductor manufacturing, including SEMI, ISO, JEDEC, and the International Roadmap for Devices and Systems. We'll explore the major companies that dominate the industry, from TSMC and Intel to equipment suppliers like ASML and Applied Materials. We'll examine the leading AI accelerators from NVIDIA, Google, Amazon, and AMD. Throughout, we'll identify opportunities for new Western fabs, lunar manufacturing considerations, and novel approaches using vacuum-native processing, chiplets, and advanced robotics. Key concepts include SECS GEM standards, CoWoS packaging, EUV lithography bottlenecks, cold welding, UCIe interconnects, and multibeam electron beam lithography.
Standards Bodies and Their Role
Let's begin with SEMI, which stands for Semiconductor Equipment and Materials International. Founded in 19 70, SEMI develops the manufacturing standards that make modern fabs possible. The most critical standard is SECS GEM, which stands for SEMI Equipment Communications Standard and Generic Equipment Model. This enables real-time equipment monitoring and control, allowing tools from different vendors to work together in a single fab. Without SECS GEM, you couldn't build a fab with etchers from Lam Research, deposition tools from Applied Materials, and lithography from ASML all communicating seamlessly.
SEMI also standardizes wafer sizes. The SEMI M 1 standard defines the specifications for 3 hundred millimeter wafers, including diameter tolerance, flatness, and edge geometry. Chemical purity specifications are another critical area. For a Western fab looking to compete with TSMC, SEMI standards are the baseline you must meet, but they don't give you an advantage. The opportunity lies in extending these standards. AI-driven process control requires higher-bandwidth data streams than current SECS GEM supports. If you're building a next-generation fab, you'll want to work with SEMI to develop standards for predictive maintenance APIs and real-time process optimization.
For lunar manufacturing, SEMI standards present interesting challenges. They assume terrestrial gravity for wafer handling and atmospheric pressure for safety interlocks. A lunar fab would need adapted standards for vacuum-native tool interfaces and reduced gravity material handling. The elimination of atmospheric contamination protocols would actually simplify many requirements.
ISO, the International Organization for Standardization, provides broader quality and environmental management standards. ISO 9 thousand 1 for quality management and ISO 1 4 thousand 1 for environmental management are foundational for fab certification. The ISO 1 4 6 4 4 series defines cleanroom standards based on particle counts. A Class 1 cleanroom allows no more than 10 particles per cubic meter for particles larger than 0.1 micrometers. These standards drive the expensive HEPA and ULPA filtration requirements.
Here's where vacuum-native processing becomes revolutionary. If you're processing wafers in continuous vacuum, you can eliminate ISO cleanroom standards entirely. Vacuum inherently provides contamination control superior to any terrestrial cleanroom. On the moon, the natural ultra-high vacuum of about 10 to the minus 12 torr vastly exceeds any cleanroom achievable on Earth. For a Western fab, a hybrid approach with vacuum chambers for critical steps could relax cleanroom requirements for other areas, potentially reducing capital expenditure on air handling systems, which typically consume 30 percent of facility costs.
The International Roadmap for Devices and Systems, or IRDS, is the successor to the International Technology Roadmap for Semiconductors, which was established in 19 98. The IRDS provides 15-year forward-looking roadmaps that coordinate industry research and development. It covers lithography, materials, interconnects, power delivery, and heterogeneous integration. While not legally binding, the IRDS influences research funding and strategic planning across the industry.
The current IRDS focuses heavily on post-FinFET transistor architectures like gate-all-around nanosheet FETs and CFETs, which stack NMOS and PMOS devices vertically. Alternative channel materials like germanium and gallium arsenide compounds are also prioritized. For advanced packaging, the roadmap emphasizes chiplets and 3D integration. The IRDS identifies what they call "red brick wall" challenges where no known solutions exist.
For a Western fab strategy, the IRDS roadmap's emphasis on chiplet architectures suggests you could bypass lithography bottlenecks entirely by specializing in advanced packaging rather than chasing leading-edge monolithic scaling. This is actually more achievable for a startup or new entrant.
JEDEC, the Joint Electron Device Engineering Council, was established in 19 58 and standardizes memory interfaces, package dimensions, thermal specifications, and reliability testing. JEDEC's work on DDR 4 and DDR 5 memory, Low Power DDR, and High Bandwidth Memory is critical for AI accelerators. HBM 3, for example, provides 8 19 gigabytes per second per stack, which is essential for feeding data to modern AI chips.
For lunar operations, JEDEC's thermal standards need revision. They currently assume convective and conductive cooling, but vacuum operation eliminates convection entirely. You'd need purely radiative and conductive thermal paths. Package standards could actually simplify on the moon because there's no moisture ingress and no need for hermetic sealing against atmosphere.
Major Companies Shaping the Industry
Let's turn to TSMC, Taiwan Semiconductor Manufacturing Company. Founded in 19 87 by Morris Chang, TSMC pioneered the pure-play foundry model where they manufacture chips designed by other companies. They command roughly 60 percent of the global foundry market and an astounding 90 percent market share for advanced nodes at 7 nanometers and below.
TSMC's technical leadership is formidable. Their N 3 process uses more than 25 EUV layers. They're launching N 2 with gate-all-around transistors in 20 25. Their SoIC chiplet bonding achieves less than 10 micrometer pitch. They manufacture virtually all leading-edge chips: Apple's A and M series processors, NVIDIA's H 100 and B 100 AI accelerators, AMD Ryzen and EPYC, and Qualcomm Snapdragon. Their revenue was 70 billion dollars in 20 23.
TSMC's key advantage is manufacturing yield optimization through cumulative learning. Their N 3 process reached 80 percent yield within months, whereas previous nodes took years. However, TSMC's CoWoS packaging, which stands for Chip-on-Wafer-on-Substrate, is currently a bottleneck for AI accelerator production. Adding CoWoS capacity requires 18 months or more.
For a Western competitor, you must either license TSMC's packaging intellectual property or develop alternatives. This is where cold welding in vacuum becomes interesting. TSMC depends entirely on Western equipment suppliers: ASML for EUV tools that cost over 2 hundred million dollars each with 2 year lead times, Applied Materials for deposition, and Lam Research for etching. Their vulnerability is Taiwan's geopolitical risk and resource dependencies. A single TSMC fab uses 1 56 thousand tons of water per day.
The opportunity for a Western fab is clear: focus on chiplet disaggregation to bypass the need for leading-edge monolithic processes. You can combine chiplets made at different nodes and potentially different foundries.
Intel represents a different model as an IDM, or Integrated Device Manufacturer, meaning they design and manufacture their own chips. Intel lost process leadership around 20 15 due to delays in their 10 nanometer process. They struggled with gate pitch complexity and cobalt interconnect integration. Now they're pursuing what they call IDM 2.0: manufacturing their own products, opening foundry services to external customers through Intel Foundry Services, and using external foundries like TSMC for some of their own products.
Intel is building new fabs in Arizona, Ohio, and Germany with a 30 billion euro investment in Europe alone. Their Intel 4 process, equivalent to TSMC's N 7, is now in production. Intel 18 A, their 1.8 nanometer class process targeting 20 25, promises a return to leadership with RibbonFET, their gate-all-around architecture, and PowerVia, which is backside power delivery.
PowerVia is particularly interesting. By routing power rails on the wafer backside, you eliminate IR drop and free up the front side for signal routing. Intel claims 6 percent speed improvement or 30 percent power reduction. For a Western fab, Intel's struggles demonstrate how difficult it is to catch up via the traditional roadmap. However, Intel Foundry being open to external customers creates an opportunity. You could access advanced US-based capacity while developing your differentiated vacuum-native or chiplet-focused process. Intel's Foveros 3D and EMIB 2.5D packaging technologies are competitive with TSMC but currently underutilized.
Samsung is the third-largest foundry with about 15 percent market share and is also the leading memory manufacturer for DRAM and NAND. They're aggressive with process node naming. They launched 3 nanometer gate-all-around in 20 22, but their actual transistor densities lag TSMC by roughly one generation. Samsung's memory expertise gives them advantages in HBM production for AI accelerators. They supply HBM 2 E and HBM 3 to both NVIDIA and AMD.
Samsung's unique capability is co-locating logic foundry and memory manufacturing, which enables heterogeneous integration research into memory-centric computing and CXL interconnects. However, they've had foundry yield issues that caused customer losses, with Qualcomm shifting volume to TSMC. For a Western fab, Samsung's vertical integration of memory plus logic is strategic but also creates overhead. There's an opportunity to specialize in memory-logic chiplet integration with simpler processes.
Now let's talk about ASML, the Dutch company with a monopoly on EUV lithography. EUV, or extreme ultraviolet, uses 13.5 nanometer wavelength light. This is generated by a remarkable process: 50 thousand watt carbon dioxide lasers focus on 50 micrometer tin droplets at 50 kilohertz, vaporizing them to create a plasma that emits EUV light. The mirrors use multilayer molybdenum-silicon coatings with 40 to 80 layers to achieve about 70 percent peak reflectivity. With 11 to 13 mirrors in the optical path, total transmission is only 2 to 5 percent, requiring over 100 kilowatts of source power.
Each EUV system weighs 180 tons, costs over 2 hundred million dollars, ships in 40 containers, and involves 250 suppliers. Throughput is about 1 60 wafers per hour for 3 hundred millimeter wafers. ASML only ships 50 to 60 systems annually, limited by their optics supply chain. They're protected by export controls and cannot ship to China.
For a Western fab, ASML's monopoly is both a vulnerability and an opportunity. There's no alternative supplier, and lead times are 2 plus years, so early orders are critical. However, chiplet architectures could reduce your EUV layer count. ASML's new High-NA EUV system, called the Twinscan EXE 5 thousand, costs 3 50 million dollars and weighs 250 tons. Its numerical aperture of 0.55 enables 8 nanometer single-exposure resolution versus 13 nanometers for current 0.33 NA systems, reducing multi-patterning needs.
For the moon, EUV systems are completely impractical. They require enormous laser power and massive infrastructure, despite the moon's excellent seismic isolation. The alternative is direct-write electron beam or nanoimprint lithography, which are more practical for a lunar fab with lower throughput requirements. Interestingly, vacuum operation of electron beam systems could actually improve resolution and throughput.
Applied Materials is the largest semiconductor equipment supplier with 27 billion dollars in revenue. Their portfolio includes CVD or chemical vapor deposition, PVD or physical vapor deposition, etch, CMP or chemical-mechanical planarization, ion implantation, and metrology. Key products include the Centura PVD platform and Producer selective etch systems.
Applied's advantage is integrated process solutions. They design entire module sequences, like back-end-of-line metallization, rather than single tools. For a Western fab, Applied Materials is US-based in Santa Clara, California, with US manufacturing, providing a strategic advantage. Close collaboration is possible. Equipment typically costs 3 to 8 million dollars per tool, and you need 20 to 50 tools per process type in a full fab.
The opportunity here is that AI-driven process optimization requires equipment with more in-situ sensing. Applied is developing what they call Integrated Materials Solutions with real-time metrology. For the moon, Applied's cluster tools are vacuum-based but designed for pumpdown from atmosphere. Redesigning for continuous vacuum would actually simplify the architecture.
Lam Research is the leading etch equipment supplier with about 50 percent market share. They provide conductor etch using capacitively-coupled plasma and dielectric etch using inductively-coupled plasma, plus atomic layer etch. Their key products include the Flex series for conductor etch, Kiyo series for dielectric etch, and SABRE 3 D for selective etch in 3D NAND.
Etch selectivity, the ratio of target material etch rate to mask or underlying layer etch rate, is critical. Advanced nodes require achieving 100 to 1 or better selectivity through plasma chemistry optimization. Lam's differentiation comes from proprietary plasma sources and real-time endpoint detection using optical emission spectroscopy and interferometry. They're also a US-based company with about 15 billion dollars in revenue.
For a Western fab, Lam is a strong US supplier. Etch is a critical bottleneck with more than 30 etch steps in advanced logic processes. For the moon, plasma etch in ultra-high vacuum could improve process control since there's no atmospheric water vapor contamination and better vacuum pumping. However, you still need to volatilize byproducts, so chlorine and fluorine chemistries are still necessary. The opportunity is in vacuum-native etch chambers without load locks.
Tokyo Electron, or TEL, is the number 3 equipment supplier globally with about 18 billion dollars in revenue. They're strong in coater-developers for photoresist application, etch, deposition, and cleaning. Their CLEAN TRACK lithography track systems integrate with ASML scanners. Japanese manufacturing culture emphasizes reliability and uptime, and TEL's equipment quality is excellent.
AI Accelerators Driving Demand
Let's examine the AI accelerators that are driving enormous demand for advanced semiconductor manufacturing. NVIDIA's H 100 launched in 20 22 on TSMC's N 4 process, a custom 4 nanometer node. It has 80 billion transistors in an 8 14 square millimeter die, using CoWoS-S advanced packaging with 6 HBM 3 stacks providing 80 gigabytes of memory at 3 terabytes per second bandwidth. Power consumption is 7 hundred watts TDP.
The architecture includes 4th generation Tensor Cores with FP 8 support providing 4 times the AI throughput versus the A 100. The Transformer Engine with FP 8 precision delivers 3 times throughput improvement for large language models. The B 100, codenamed Blackwell and announced in 20 24, moves to TSMC N 3 and combines two GPU dies with 10 terabytes per second chip-to-chip interconnect. It delivers 20 petaFLOPS of FP 4 performance with 208 billion transistors using CoWoS-L packaging.
The critical bottleneck is HBM 3 and CoWoS supply. TSMC's CoWoS capacity is only about 12 thousand wafers per month, expanding to 25 thousand, which is insufficient for demand. HBM is supplied by SK Hynix, Samsung, and Micron, and supply is limited. NVIDIA's moat is their CUDA software ecosystem with over 15 years of development, including cuDNN libraries and TensorRT optimization.
For a Western fab, there's a major opportunity in packaging. If cold welding or alternative chiplet integration can bypass the CoWoS bottleneck, you'd have a significant competitive advantage. NVIDIA's architecture assumes air cooling with fans or liquid cooling. Vacuum operation would require radiative cooling redesign but could enable higher power densities. On the moon, vacuum operation could enable AI accelerators at 1 to 2 kilowatts with simplified thermal design using only radiators. Abundant solar power makes energy efficiency less critical, enabling entirely different architecture optimization.
Google's TPU, or Tensor Processing Unit, is their custom ASIC for neural network inference and training. TPU v 1 from 20 16 was inference-only. V 2 and v 3 added training. V 4 from 20 21 uses TSMC 7 nanometer with a systolic array architecture optimized for matrix multiplication. Their v 5 e from 20 23 focuses on inference efficiency while v 5 p targets training performance.
Google's key advantage is co-design of hardware, software, and algorithms. TensorFlow is optimized for the TPU instruction set architecture. Their ICI or inter-chip interconnect provides 4.8 terabytes per second bidirectional per chip in v 4 pods. Google claims 4.3 times better performance per watt versus NVIDIA's A 100. Technical differentiations include the bfloat16 numeric format, specialized matrix multiply units, and optical interconnects in large pods using optical circuit switching. TPUs aren't commercially available except through Google Cloud.
For a Western fab, Google demonstrates the viability of custom silicon for hyperscalers. Amazon and Microsoft are also developing their own. The opportunity is a foundry focused on custom AI accelerators for non-hyperscalers like OpenAI, Anthropic, or xAI. A chiplet approach could enable faster design iteration.
Amazon's Trainium and Inferentia are their custom AI chips. Inferentia from 20 19 was an inference ASIC on TSMC 16 nanometer. Inferentia 2 from 20 23 uses TSMC N 5 and delivers 47 tera operations per second per watt. Trainium from 20 21 is their training chip with 16 Neuron Cores per device providing 8 hundred tera operations per second for FP 16 and BF 16. Trainium 2, announced in 20 24, uses TSMC N 3 with 4 times the performance.
The architecture centers on NeuronCore with matrix multiply engines and vector-scalar units. They use EFA, or Elastic Fabric Adapter, for inter-instance networking at 4 hundred gigabits per second. AWS provides the Neuron SDK for PyTorch and TensorFlow. Their strategy is to undercut NVIDIA on price for AWS customers. This demonstrates hyperscaler vertical integration where economics favor custom silicon at scale.
AMD's MI 300, specifically the Instinct MI 300 X from 20 23, uses a chiplet architecture with 8 CDNA 3 GPU dies plus 4 HBM 3 base dies on TSMC N 5 and N 6 processes. It has 1 53 billion transistors, 1 92 gigabytes of HBM 3 at 5.3 terabytes per second, and 7 50 watts TDP. It uses 2.5 D integration with an elevated fanout bridge.
AMD's key differentiation is unified memory architecture in their MI 300 A variant, which combines CPU and GPU, enabling larger model loading. Their challenge is that the software ecosystem is immature compared to CUDA. The ROCm platform is improving but has limited adoption. Pricing is aggressive at roughly 40 percent less than the H 100.
The technical innovation here is the 3D stacked architecture with HBM on an interposer enabling 8 GPU chiplets. For a Western fab, AMD's chiplet approach is a template. It demonstrates a path to competitive performance without monolithic die leadership. The opportunity is to improve chiplet interconnects beyond AMD's Infinity Fabric at 9 hundred gigabytes per second per link. Cold welding could enable much denser integration.
Novel Opportunities and Future Directions
Let's explore novel opportunities starting with AI-driven process optimization. Current fab optimization relies on design of experiments with limited sampling because wafer costs are 5 to 10 thousand dollars for a blank 3 hundred millimeter wafer and 20 to 50 thousand dollars after processing. AI and machine learning can model process responses with fewer experiments. Applied Materials' ControlPulse and Lam's Sense.i platforms are emerging solutions.
The opportunity is real-time reinforcement learning that adjusts plasma etch chemistry, deposition temperature, and implant dose based on in-situ metrology. This requires extending SECS GEM standards for high-bandwidth sensor data and developing physics-informed neural networks for process models. A Western fab has the advantage of greenfield deployment with an AI-native architecture without legacy constraints.
Vacuum-native fab architecture is revolutionary. Conventional fabs break vacuum between every process step, requiring FOUPs, or Front-Opening Unified Pods, and cleanrooms to prevent contamination during atmospheric transfer. The overhead includes FOUP handling robots, atmospheric transfer time of minutes per wafer, and cleanroom HVAC consuming 30 percent of facility costs.
The alternative is cluster tools in continuous vacuum. SEMATECH explored this in the 19 90s but abandoned it due to limited tool flexibility. Modern opportunity involves modular cluster tools with robotic wafer handling in vacuum corridors. Benefits include eliminating the cleanroom by moving to ultra-high vacuum specifications, faster transfer, reduced contamination, and no need for wafer surface passivation between steps.
Challenges include maintenance requiring breaking vacuum, necessitating modular isolation, and being limited to compatible processes since you can't do wet chemistry. On the moon, natural ultra-high vacuum makes this the default architecture. The entire fab could be a pressurized cavity within regolith with vacuum-sealed modules. For a Western fab, a hybrid approach with vacuum clusters for critical steps like lithography, etch, and deposition, combined with atmospheric transfer for non-critical steps, reduces but doesn't eliminate the cleanroom.
Chiplet cold welding is another frontier. Conventional chiplet bonding uses microbumps with copper pillars and solder caps at roughly 40 micrometer pitch, or hybrid bonding with copper-copper diffusion plus dielectric bonding at roughly 10 micrometer pitch, requiring CMP planarization. The alternative is cold welding: metallic bonding at room temperature via surface deformation under pressure. This requires clean, oxide-free surfaces achievable in ultra-high vacuum.
Historically, gold ball bonding used cold welding in packaging, and NASA documented experiments on spacecraft. The modern opportunity is precision cold welding in vacuum for chiplet integration. Benefits include eliminating thermal budget since there's no reflow or annealing, enabling finer pitch at sub-micron scales, and providing direct electrical and thermal connection. Challenges involve surface preparation via ion milling to remove oxides, alignment precision at nanometer scale, and force application without damage.
On the moon, the ultra-high vacuum environment is ideal because there's no oxide regrowth. This could enable chiplet assembly with robotic precision. For a Western fab, cold welding in vacuum modules could bypass the CoWoS capacity bottleneck entirely.
UCIe, or Universal Chiplet Interconnect Express, established in 20 22, standardizes die-to-die interconnects including protocol, physical layer, and bonding. Members include Intel, AMD, TSMC, Samsung, ARM, Qualcomm, Google, Meta, and Microsoft. It enables mixing chiplets from different foundries and designers with speeds from 2 to 32 gigatransfers per second supporting both standard and advanced packaging with CXL and PCIe protocols.
For a Western fab focused on chiplet integration, specializing in UCIe-compliant processes enables an ecosystem business model. On the moon, the UCIe standard enables modular manufacturing where you produce different chiplet types in separate process lines and integrate them at the end, reducing the need for full-stack process development.
Alternative lithography is critical for both lunar and Western fabs. EUV is impractical for the moon due to infrastructure requirements and a potential bottleneck for Western fabs due to ASML's monopoly. Alternatives include multibeam electron beam from IMS Nanofabrication, now owned by Intel. This uses 100 thousand beams in parallel with projected throughput of 10 wafers per hour and 10 nanometer resolution. The advantage is no mask is required since it's direct write, it's vacuum-native, and throughput scales with more beams. The challenge is it's still slower than EUV with limited adoption.
Nanoimprint lithography from suppliers like Canon and EVG stamps patterns from a template with 10 nanometer resolution and high throughput. The challenge is template defects replicate and it's limited to certain layers. Advanced DUV multi-patterning using ASML's deep ultraviolet 1 93 nanometer lithography can achieve 7 nanometer features with self-aligned quadruple patterning, which Intel used for 10 nanometer.
For the moon, multibeam electron beam is attractive because it operates in vacuum, requires no consumables compared to photoresist processing, and is scalable. Lower throughput is acceptable for initial lunar demand. For a Western fab, a hybrid approach using nanoimprint for memory with repetitive patterns, multibeam e-beam for logic flexibility, and DUV for less critical layers reduces EUV layer count and ASML dependency.
Mature robotics could transform semiconductor manufacturing. Modern fabs use AGVs or automated guided vehicles, OHT or overhead hoist transport, and robotic wafer handlers called EFEMs or equipment front-end modules. These have limited flexibility with fixed paths and standardized interfaces.
Mature robotics with humanoid or articulated arms with fine manipulation could enable flexible tool loading where robots replace EFEMs and handle non-standard wafer carriers. Maintenance in vacuum becomes possible where robots perform routine tasks without breaking vacuum. Dynamic reconfiguration allows moving tools and reconfiguring clusters for different processes. Quality inspection improves with robots performing inline defect inspection using vision systems.
On the moon, teleoperated and autonomous robots are critical due to 1.3 second communication latency and limited human presence. Robots could assemble and maintain vacuum clusters, handle materials, and perform metrology. The economic impact includes reduced labor costs, improved utilization with 24/7 operation, and accelerated yield learning through faster experimentation.
Historical ideas worth revisiting include X-ray lithography from the 19 80s and 19 90s. With 1 nanometer wavelength, it enables sub-10 nanometer patterning but was abandoned due to lack of defect-free masks and source brightness. Modern synchrotron sources and membrane masks could revive this. Ion projection lithography from the 19 90s used focused ion beams but was abandoned for throughput. Modern multibeam ion systems could improve this. Molecular beam epitaxy for all deposition provides atomic-level control but was abandoned for throughput. Modern MBE with higher beam flux could enable selective-area growth. Vacuum-integrated processing explored by SEMATECH in the 19 90s is now viable with modern cluster tool technology.
Academic and industry research frontiers include cryo-CMOS, operating transistors at cryogenic temperatures around 4 kelvin to improve mobility and reduce noise. This is researched for quantum computing but Intel and IBM are exploring general-purpose cryo-CMOS for AI. On the moon, night temperatures reach 100 kelvin, creating opportunities for passively cooled cryo-CMOS. Superconducting interconnects using niobium or niobium nitride could replace copper at cryogenic temperatures with orders of magnitude lower power, being developed under IARPA's SuperINC program.
Photonic integration with silicon photonics for chip-to-chip interconnects is being developed by Ayar Labs and Lightmatter to eliminate electrical SerDes power. Chiplet-level active interposers that embed computation in the interposer layer between chiplets are being researched by Intel and Georgia Tech for in-memory computing. Atomic layer etching provides angstrom-scale control and is transitioning from research to production. Area-selective deposition, where material deposits only on desired surfaces, could eliminate patterning steps entirely. Research by 3M and ASM uses self-assembled monolayers as inhibitors.
To summarize the key concepts: SEMI provides critical standards like SECS GEM for equipment communication. ISO defines cleanroom particle counts. JEDEC standardizes memory interfaces like HBM 3. IRDS roadmaps guide industry development toward chiplets and gate-all-around transistors. TSMC dominates with 60 percent foundry share but faces CoWoS packaging bottlenecks. ASML monopolizes EUV at 2 hundred million dollars per tool. Applied Materials and Lam Research supply US-made deposition and etch equipment. NVIDIA's H 100 and B 100 drive demand using TSMC N 4 and N 3 with CoWoS packaging. Alternative approaches include vacuum-native processing to eliminate cleanrooms, cold welding for chiplet integration, multibeam e-beam to bypass EUV, UCIe standards for chiplet ecosystems, and AI-driven process optimization. Lunar manufacturing benefits from natural ultra-high vacuum, enabling simplified vacuum-native architectures and superior contamination control. Western fabs can leapfrog by focusing on chiplets, advanced packaging, and AI integration rather than chasing monolithic node scaling. Mature robotics enable flexible automation and vacuum maintenance. Historical ideas like X-ray lithography and vacuum integration are worth revisiting with modern technology.
Technical Overview
Standards Bodies
SEMI (Semiconductor Equipment and Materials International): Founded 1970, develops manufacturing standards critical for equipment interoperability, safety, and automation. Key standards include SECS/GEM (SEMI Equipment Communications Standard/Generic Equipment Model) for fab automation, enabling real-time equipment monitoring and control. SEMI also standardizes wafer sizes (e.g., SEMI M1 for 300mm wafers), chemical purity specifications, and environmental/safety protocols. These standards enable multi-vendor toolsets to work together—critical for fab economics since no single vendor provides all equipment. Membership includes ~2,400 companies globally. For Western fab: SEMI standards are non-negotiable baseline but don't confer advantage. Opportunity: AI-driven process control requires extending SECS/GEM for higher-bandwidth data streams and predictive maintenance APIs. For moon: SEMI standards assume terrestrial gravity, atmospheric pressure for safety interlocks, and specific logistics (FOUP handling). Would need lunar adaptations for vacuum-native tool interfaces, reduced gravity material handling, and elimination of atmospheric contamination protocols.
ISO (International Organization for Standardization): Broader than semiconductors, ISO 9001 (quality management) and ISO 14001 (environmental) are foundational for fab certification. ISO cleanroom standards (14644 series) define particle counts per cubic meter—Class 1 allows ≤10 particles/m³ ≥0.1µm. These drive HEPA/ULPA filtration requirements and gowning protocols. For vacuum-native fab: Could eliminate ISO cleanroom standards entirely, as vacuum inherently provides contamination control superior to Class 1. Moon advantage: Natural UHV (~10⁻¹² torr) vastly exceeds any terrestrial cleanroom, enabling unprecedented contamination control without infrastructure. Western fab opportunity: Hybrid approach with vacuum chambers for critical steps could relax cleanroom requirements, reducing CAPEX on air handling (typically 30% of facility cost).
ITRS/IRDS (International Roadmap for Devices and Systems): Successor to ITRS (established 1998), IRDS provides 15-year forward-looking roadmaps coordinating industry R&D. Covers lithography, materials, interconnects, power delivery, heterogeneous integration. Not legally binding but influences research funding and strategic planning. Key current focus: post-FinFET transistor architectures (gate-all-around nanosheet FETs, CFETs), alternative channel materials (Ge, III-V compounds), and advanced packaging (chiplets, 3D integration). IRDS identifies "red brick wall" challenges where no known solutions exist. For Western fab: IRDS roadmap suggests aggressive adoption of chiplet architectures to circumvent lithography bottlenecks—opportunity to specialize in advanced packaging rather than leading-edge monolithic scaling. For moon: Lower priorities on power efficiency (abundant solar) might enable different optimization targets, but IRDS remains useful framework for capability planning.
JEDEC (Joint Electron Device Engineering Council): Established 1958, standardizes memory interfaces (DDR4/5, LPDDR, HBM), package dimensions, thermal specifications, and reliability testing. Critical for ensuring chips from different manufacturers are pin-compatible and interchangeable. HBM (High Bandwidth Memory) standards enable AI accelerator development—HBM3 provides 819 GB/s per stack at reduced power vs. GDDR. JEDEC's thermal resistance metrics (θJA, θJC) and stress test protocols (HTOL, temperature cycling) are mandatory for product qualification. For Western fab: JEDEC compliance is table stakes, but opportunity exists in co-developing next standards (HBM4, CXL memory tiers) to favor novel architectures. For moon: Vacuum operation eliminates convective cooling, requiring revision of thermal standards to focus purely on radiative/conductive paths. Package standards may simplify (no moisture ingress concerns, no need for hermetic sealing against atmosphere).
Major Companies
TSMC: Founded 1987 by Morris Chang, pioneered pure-play foundry model. Commands ~60% global foundry market share, ~90% for advanced nodes (≤7nm). Technical leadership in EUV multi-patterning (N3 uses 25+ EUV layers), gate-all-around transistors (N2 launching 2025), and 3D fabric integration (SoIC chiplet bonding at <10µm pitch). Manufactures Apple A/M-series, NVIDIA H100/B100, AMD Ryzen/EPYC, Qualcomm Snapdragon. Revenue $70B (2023). Key advantage: manufacturing yield optimization through cumulative learning—N3 yield reached 80% within months vs. years for predecessors. TSMC's CoWoS (Chip-on-Wafer-on-Substrate) advanced packaging is bottleneck for AI accelerator production; adding capacity requires 18+ months. For Western competitor: Must either license TSMC's packaging IP or develop alternative (e.g., direct chiplet cold welding in vacuum). TSMC relies on ASML EUV tools (lead time 2+ years, $200M+ each), Applied Materials deposition tools, Lam Research etchers—all Western suppliers. Vulnerability: Taiwan geopolitical risk and water/power dependencies (fab uses 156k tons water/day). Opportunity: Western fab focusing on chiplet disaggregation could bypass need for leading-edge monolithic process.
Intel: Historically dominant IDM (Integrated Device Manufacturer), designing and manufacturing own chips. Lost process leadership ~2015 due to 10nm delays (gate pitch complexity, cobalt interconnect integration issues). Now pursuing "IDM 2.0" strategy: manufacturing own products, opening foundry services (Intel Foundry Services), and using external foundries (TSMC) for some products. Building new fabs in Arizona, Ohio, Germany (€30B investment). Process technology: Intel 4 (equivalent to TSMC N7) now in production, Intel 3 launching 2024, Intel 18A (1.8nm class, targeting 2025) promises return to leadership with RibbonFET (gate-all-around) and PowerVia (backside power delivery). Technical differentiation: Backside power delivery eliminates IR drop by routing power rails on wafer backside, freeing front-side area for signal routing—claims 6% speed improvement or 30% power reduction. For Western fab: Intel's struggles demonstrate difficulty of catching up via traditional roadmap. However, Intel Foundry open to external customers creates opportunity for Western startups to access advanced US-based capacity. Intel's packaging (Foveros 3D, EMIB 2.5D) competitive with TSMC but underutilized. Moon consideration: Intel's high-NA EUV strategy (NA 0.55, vs. 0.33 for current EUV) improves resolution but requires massive optics—impractical for lunar deployment. Western fab strategy: Partner with Intel Foundry for initial production while developing differentiated vacuum-native or chiplet-focused process.
Samsung: Third-largest foundry (~15% share) and leading memory manufacturer (DRAM, NAND). Aggressive process node naming (3nm GAA launched 2022) but actual transistor densities lag TSMC by ~1 generation. Memory expertise provides advantages in HBM production for AI accelerators—supplies HBM2E/HBM3 to NVIDIA, AMD. Unique capability: co-locating logic foundry and memory enables heterogeneous integration R&D (memory-centric computing, CXL interconnects). Technical challenge: foundry yield issues have caused customer losses (Qualcomm shifting to TSMC). Investment: $230B over decade for memory/foundry expansion. For Western fab: Samsung's vertical integration (memory + logic) is strategic advantage difficult to replicate, but also creates overhead. Opportunity: specialize in memory-logic chiplet integration with simpler process. Moon: Samsung's memory focus is relevant as radiation-hardened memory is critical for lunar operations—vacuum packaging could inherently improve radiation tolerance.
ASML: Dutch company, monopoly supplier of EUV lithography systems. Extreme ultraviolet light (13.5nm wavelength) generated by tin droplet laser plasma, requiring 50,000W CO₂ lasers focused to vaporize 50µm tin droplets at 50kHz. Mirrors use multilayer Mo/Si coatings (40-80 layers, λ/4 spacing) achieving ~70% peak reflectivity. 11-13 mirrors in optical path means total transmission ~2-5%, requiring 100+kW source power. System mass ~180 tons, cost $200M+, 40 shipping containers, 250 suppliers. Throughput ~160 wafers/hour (300mm). Only 50-60 systems shipped annually (limited by optics supply chain). ASML protected by export controls—cannot ship to China. For Western fab: ASML monopoly is strategic vulnerability and opportunity—no alternative supplier exists. Lead time 2+ years means early orders critical. However, chiplet architectures could reduce EUV layer count. High-NA EUV (Twinscan EXE:5000, shipping 2024) costs $350M+, weighs 250 tons—NA 0.55 enables 8nm single-exposure resolution vs. 13nm for current NA 0.33, reducing multi-patterning. For moon: EUV system impractical—requires laser power, ultra-precise vibration isolation (although moon offers excellent seismic isolation), and massive infrastructure. Alternative: direct-write e-beam or NIL (nanoimprint lithography) more practical for lunar fab with lower throughput requirements. Novel opportunity: vacuum operation of e-beam could improve resolution/throughput.
Applied Materials: Largest semiconductor equipment supplier ($27B revenue). Portfolio includes CVD (chemical vapor deposition), PVD (physical vapor deposition), etch, CMP (chemical-mechanical planarization), ion implantation, metrology. Key products: Centura PVD platform (300mm, multi-chamber cluster tool), Producer selective etch systems. Applied's advantage is integrated process solutions—designing entire module sequences (e.g., BEOL metallization) rather than single tools. Recent focus: atomic layer deposition for gate-all-around transistors, selective tungsten deposition, hybrid bonding for chiplets. For Western fab: Applied Materials is US-based (Santa Clara, CA) with US manufacturing—strategic advantage for Western fab. Close collaboration possible. Equipment typically ~$3-8M per tool, with 20-50 tools per process type in full fab. Opportunity: AI-driven process optimization requires equipment with more in-situ sensing—Applied developing "Integrated Materials Solutions" with real-time metrology. For moon: Applied's cluster tools are vacuum-based but designed for pumpdown from atmosphere. Redesign for continuous vacuum would simplify architecture. Opportunity: Applied could develop lunar-specific tools with simplified load-locks and vacuum compatibility.
Lam Research: Leading etch equipment supplier (~50% market share) and significant deposition provider. Conductor etch (capacitively-coupled plasma), dielectric etch (inductively-coupled plasma), ALE (atomic layer etch). Key products: Flex series (conductor etch), Kiyo series (dielectric etch), SABRE 3D (3D NAND selective etch). Etch selectivity (ratio of target material etch rate to mask/underlying layer) critical for advanced nodes—achieving 100:1+ selectivity requires plasma chemistry optimization. Lam's differential: proprietary plasma sources and real-time endpoint detection (optical emission spectroscopy, interferometry). Also supplies deposition (PECVD, ALD) and clean equipment. Revenue ~$15B, US-based. For Western fab: Lam is US supplier with strong US manufacturing base. Etch is critical bottleneck (30+ etch steps in advanced logic)—close partnership essential. Opportunity: AI-driven etch recipe optimization could accelerate yield learning. For moon: Plasma etch in UHV environment could improve process control (no atmospheric water vapor contamination, better vacuum pumping). However, requires volatilizing byproducts—chlorine/fluorine chemistries still needed. Opportunity: vacuum-native etch chambers without load-locks.
Tokyo Electron (TEL): Japanese equipment supplier, #3 globally (~$18B revenue). Strong in coater/developers (photoresist application), etch, deposition, cleaning. Key products: CLEAN TRACK lithography track systems (integrated with ASML scanners), Tactras etch, Odyssey deposition. TEL's strength: precision process control and throughput optimization. Japanese manufacturing culture emphasizes reliability and uptime. For Western fab: TEL is trusted supplier but Japan-based—geopolitical considerations less severe than Taiwan but present. Equipment quality/reliability excellent. For moon: TEL's coater/developers less relevant if moving to vacuum-native processes (resist requires solvent evaporation in atmosphere). However, TEL's ALD/CVD tools applicable.
AI Accelerators
NVIDIA H100/B100: H100 launched 2022 on TSMC N4 process (custom 4nm), 80B transistors, 814mm² die, uses CoWoS-S advanced packaging with 6x HBM3 stacks (80GB, 3TB/s bandwidth). Power consumption 700W TDP. Architecture: 4th-gen Tensor Cores (FP8 support, 4x AI throughput vs. A100), 132 streaming multiprocessors, 16,896 CUDA cores. Transformer Engine with FP8 precision delivers 3x throughput improvement for large language models. B100 (Blackwell, announced 2024) moves to TSMC N3, combines two GPU dies with 10TB/s chip-to-chip interconnect, 20 petaFLOPS FP4 performance, 208B transistors. Uses CoWoS-L packaging. Critical bottleneck: HBM3/CoWoS supply. TSMC CoWoS capacity ~12k wafers/month (expanding to 25k), insufficient for demand. HBM supplied by SK Hynix, Samsung, Micron—limited supply. NVIDIA's moat: CUDA software ecosystem (15+ years development), cuDNN libraries, TensorRT optimization. For Western fab: Opportunity in packaging—if cold welding or alternative chiplet integration can bypass CoWoS bottleneck, major competitive advantage. NVIDIA's architecture assumes air cooling (fans, liquid cooling)—vacuum operation would require radiative cooling redesign but could enable higher power densities. Moon: Vacuum operation could enable higher-power AI accelerators (1-2kW+) with simplified thermal design (radiators only). Abundant solar power makes energy efficiency less critical. Novel architecture: memory-centric design in vacuum with direct cold-welded interconnects.
Google TPU: Tensor Processing Unit, Google's custom ASIC for neural network inference/training. TPU v1 (2016) inference-only; v2/v3 added training; v4 (2021) uses TSMC 7nm, systolic array architecture optimized for matrix multiplication. v5e (2023) focuses on inference efficiency; v5p training performance. Key advantage: co-design of hardware/software/algorithms—TensorFlow framework optimized for TPU ISA. Interconnect: ICI (inter-chip interconnect) provides 4.8TB/s bidirectional per chip in v4 pods. Google claims 4.3x performance/watt vs. NVIDIA A100. Technical differentiation: bfloat16 numeric format, specialized matrix multiply units, optical interconnects in large pods (OCS, optical circuit switching). Not commercially available except via Google Cloud. For Western fab: Google demonstrates viability of custom silicon for hyperscalers—Amazon, Microsoft also developing. Opportunity: foundry focused on custom AI accelerators for non-hyperscalers (OpenAI, Anthropic, xAI, etc.). Chiplet approach could enable faster design iteration. Moon: TPU's systolic array architecture maps well to vacuum operation (deterministic dataflow, minimal control complexity).
AWS Trainium/Inferentia: Amazon's custom AI chips. Inferentia (2019) inference ASIC on TSMC 16nm; Inferentia2 (2023) on TSMC N5, 47 TOPS/W. Trainium (2021) training chip, 16 Neuron Cores per device, 800 TOPS FP16/BF16. Trainium2 (announced 2024) on TSMC N3, 4x performance. Architecture: NeuronCore with matrix multiply engines, vector/scalar units. Uses EFA (Elastic Fabric Adapter) for inter-instance networking (400Gbps). AWS provides Neuron SDK for PyTorch/TensorFlow. Strategy: undercut NVIDIA on price for AWS customers. For Western fab: AWS demonstrates hyperscaler vertical integration—economics favor custom silicon at scale. Opportunity: provide foundry services to next-tier AI companies seeking custom silicon. Moon: AWS chips designed for terrestrial data centers—vacuum redesign could simplify packaging/cooling.
AMD MI300: Instinct MI300X (2023) AI accelerator using chiplet architecture: 8x CDNA3 GPU dies + 4x HBM3 base dies, TSMC N5/N6 process. 153B transistors, 192GB HBM3 (5.3TB/s), 750W TDP. Uses 2.5D integration with elevated fanout bridge. Key differentiation: unified memory architecture (CPU+GPU, MI300A variant) enables larger model loading. AMD's challenge: software ecosystem immature vs. CUDA—ROCm platform improving but limited adoption. Pricing aggressive (~40% less than H100). Technical innovation: 3D stacked architecture with HBM on interposer enables 8 GPU chiplets. For Western fab: AMD's chiplet approach is template for Western competitor—demonstrates path to competitive performance without monolithic die leadership. Opportunity: improve chiplet interconnect (AMD's Infinity Fabric ~900GB/s/link). Cold welding could enable denser integration. Moon: Chiplet architecture well-suited to lunar fab with simplified processes for each die type.
Novel Opportunities
AI-Driven Process Optimization: Current fab optimization relies on DOE (design of experiments) with limited sampling due to wafer cost ($5-10k/300mm blank wafer, $20-50k after processing). AI/ML can model process responses with fewer experiments—Applied Materials' ControlPulse, Lam's Sense.i platforms emerging. Opportunity: real-time reinforcement learning adjusting plasma etch chemistry, deposition temperature, implant dose based on in-situ metrology. Requires extending SECS/GEM standards for high-bandwidth sensor data, developing physics-informed neural networks for process models. Western fab advantage: greenfield deployment of AI-native architecture without legacy constraints. Moon: lower throughput enables more experimental process variations—AI could optimize for lunar-specific conditions.
Vacuum-Native Fab Architecture: Conventional fabs break vacuum between every process step, requiring FOUPs (Front-Opening Unified Pods) and cleanrooms to prevent contamination during atmospheric transfer. Overhead: FOUP handling robots, atmospheric transfer time (minutes/wafer), cleanroom HVAC (30% facility cost). Alternative: cluster tools in continuous vacuum. SEMATECH explored this in 1990s but abandoned due to limited tool flexibility. Modern opportunity: modular cluster tools with robotic wafer handling in vacuum corridors. Benefits: eliminate cleanroom (move to UHV specification), faster transfer, reduced contamination, no need for wafer surface passivation between steps. Challenges: maintenance requires breaking vacuum (need modular isolation), limited to compatible processes (no wet chemistry). Moon: natural UHV environment makes vacuum-native architecture default choice. Entire fab could be pressurized cavity within regolith, with vacuum-sealed modules. Western fab: hybrid approach—vacuum clusters for critical steps (lithography, etch, deposition) with atmospheric transfer for non-critical steps. Reduces but doesn't eliminate cleanroom. Startup opportunity: develop vacuum-compatible robotic handlers, vacuum-rated metrology tools, and process modules.
Chiplet Cold Welding: Conventional chiplet bonding uses microbumps (copper pillars, solder caps, ~40µm pitch) or hybrid bonding (Cu-Cu diffusion + dielectric bonding, ~10µm pitch, requires CMP planarization). Alternative: cold welding—metallic bonding at room temperature via surface deformation under pressure. Requires clean, oxide-free surfaces (achievable in UHV). Historical: gold ball bonding used in packaging, NASA experiments on spacecraft cold welding. Modern opportunity: precision cold welding in vacuum for chiplet integration. Benefits: eliminates thermal budget (no reflow/annealing), enables finer pitch (sub-micron), direct electrical/thermal connection. Challenges: surface preparation (ion milling to remove oxides), alignment precision (nm-scale), force application without damage. Moon: UHV environment ideal for cold welding—no oxide regrowth. Could enable chiplet assembly with robotic precision. Western fab: cold welding in vacuum modules could bypass CoWoS capacity bottleneck. Requires development of alignment/bonding tooling. Startup opportunity: develop precision cold welding equipment, collaborate with chiplet ecosystem (UCIe standard).
Standards Evolution for Lunar Manufacturing: SEMI/JEDEC standards assume terrestrial environment. Lunar adaptations needed: (1) Vacuum operation standards—tool interfaces, wafer handling, contamination specs in UHV. (2) Reduced gravity material handling—FOUP replacements, liquid chemical handling (if used). (3) Thermal management in vacuum—radiative cooling specs, chip thermal resistance without convection. (4) Radiation tolerance—SEU (single-event upset) rates for lunar surface radiation, packaging requirements. Opportunity: establish lunar semiconductor standards body, potentially under SEMI umbrella. Early standardization enables multi-vendor tooling, reduces development cost. Western fab relevance: vacuum-native processes developed for moon could be backported to terrestrial fabs for competitive advantage.
Open Standards for Chiplet Ecosystems: UCIe (Universal Chiplet Interconnect Express), established 2022, standardizes die-to-die interconnects (protocol, physical layer, bonding). Members: Intel, AMD, TSMC, Samsung, ARM, Qualcomm, Google, Meta, Microsoft. Enables mixing chiplets from different foundries/designers. Specifications: 2GT/s to 32GT/s, standard/advanced packaging, CXL/PCIe protocols. Opportunity: Western fab focused on chiplet integration could specialize in UCIe-compliant processes, enabling ecosystem business model. Moon: UCIe standard enables modular manufacturing—produce different chiplet types in separate process lines, integrate at end. Reduces need for full-stack process development.
Alternative Lithography for Lunar/Western Fabs: EUV impractical for moon (infrastructure), potential bottleneck for Western fab (ASML monopoly). Alternatives: (1) Multibeam e-beam (IMS Nanofabrication, now owned by Intel)—100k beams in parallel, ~10 wafers/hour projected throughput, 10nm resolution. Advantage: no mask required (direct write), vacuum-native, scalable throughput with more beams. Challenge: still slower than EUV, limited adoption. (2) Nanoimprint lithography (NIL)—Canon, EVG suppliers. Stamps pattern from template, 10nm resolution, high throughput. Challenge: template defects replicate, limited to certain layers. (3) Advanced DUV multi-patterning—ASML also supplies DUV (deep ultraviolet, 193nm), can achieve 7nm features with SAQP (self-aligned quadruple patterning). Used by Intel for 10nm. Moon: multibeam e-beam attractive—vacuum operation, no consumables (vs. photoresist processing), scalable. Lower throughput acceptable for initial lunar demand. Western fab: hybrid approach—NIL for memory (repetitive patterns), multibeam e-beam for logic (flexibility), DUV for less critical layers. Reduces EUV layer count, shortens ASML dependency.
Robotics and Automation: Modern fabs use AGVs (automated guided vehicles), OHT (overhead hoist transport), and robotic wafer handlers (EFEM, equipment front-end modules). Limited flexibility—fixed paths, standardized interfaces. Mature robotics (humanoid, articulated arms with fine manipulation) could enable: (1) Flexible tool loading—robots replace EFEM, handle non-standard wafer carriers. (2) Maintenance in vacuum—robots perform routine maintenance without breaking vacuum. (3) Dynamic reconfiguration—move tools, reconfigure clusters for different processes. (4) Quality inspection—robots with vision systems perform inline defect inspection. Moon: teleoperated/autonomous robots critical due to communication latency (1.3s) and limited human presence. Robots could assemble/maintain vacuum clusters, handle materials, perform metrology. Economic impact: reduces labor cost (terrestrial fabs employ 2000-5000 people), improves utilization (24/7 operation without shifts), accelerates yield learning (faster experimentation). Challenges: cleanroom/vacuum-compatible robotics, safety certification, software integration with fab MES (manufacturing execution system).
Historical Ideas Worth Revisiting: (1) X-ray lithography (1980s-90s)—1nm wavelength enables sub-10nm patterning. Abandoned due to lack of defect-free masks and source brightness. Modern synchrotron sources and membrane masks could revive. Advantage: high resolution, large depth of focus. Moon: synchrotron impractical (massive infrastructure), but inverse Compton scattering compact X-ray sources emerging. (2) Ion projection lithography (1990s)—focused ion beam exposes resist. Abandoned for throughput. Modern multibeam ion systems could improve throughput. Advantage: vacuum-native, no diffraction limit. (3) Molecular beam epitaxy for all deposition (1970s concept)—atomic-level control. Abandoned for throughput. Modern MBE with higher beam flux could enable selective-area growth for gate-all-around transistors. (4) Vacuum-integrated processing (SEMATECH 1990s)—explored in-vacuo integration but tools immature. Modern cluster tool technology makes viable. (5) Direct write laser ablation (1980s)—laser removes material for patterning. Abandoned for damage/resolution. Ultrafast femtosecond lasers enable damage-free ablation. Opportunity: laser-based additive/subtractive processing in vacuum for chiplet integration.
Academic/Industry Research Frontiers: (1) Cryo-CMOS—operating transistors at cryogenic temperatures (4K) improves mobility, reduces noise. Research for quantum computing readout, but general-purpose cryo-CMOS for AI explored by Intel, IBM. Moon: night temperatures reach 100K—opportunity for passively cooled cryo-CMOS with simplified architecture. (2) Superconducting interconnects (Nb, NbN)—replace copper for long-distance interconnects at cryogenic temps. IARPA SuperINC program. Enables orders of magnitude lower interconnect power. (3) Photonic integration—silicon photonics for chip-to-chip interconnects. Ayar Labs, Lightmatter developing. Could eliminate electrical SerDes power. (4) Chiplet-level active interposers—embed computation in interposer layer between chiplets. Intel, Georgia Tech research. Enables in-memory computing, interconnect acceleration. (5) Atomic layer etching (ALE)—layer-by-layer material removal (Angstrom-scale control). Transitioning from research to production for gate-all-around. (6) Area-selective deposition—deposit material only on desired surfaces, eliminates patterning steps. 3M, ASM research on self-assembled monolayers as inhibitors. Could simplify process flow significantly. (7) Directed self-assembly (DSA)—block copolymers self-organize into nm-scale patterns. Intel, TSMC explored for lithography. Could augment EUV for sub-5nm patterning. Moon/Western fab: ALE and area-selective deposition most promising—reduce process complexity, improve vacuum compatibility.