Concepts and Terms
6. Surface Preparation & Cleaning
Surface Science
- Surface roughness - Height variation on surface; measured in nm RMS
- Atomic flatness - Surface flat to single atomic layer
- Surface energy - How reactive/wettable a surface is
- Surface contamination - Unwanted material on surface
- Native oxide - Thin oxide that forms naturally in air
- Particle - Dust/debris that can kill devices (major defect source)
Cleaning & Polishing
- Chemical-Mechanical Polishing (CMP) - Combined chemical and mechanical planarization
- Planarization - Making surface flat
- Polish - Mechanical smoothing process
- Slurry - Abrasive liquid used in CMP
- Platen - Rotating disk in CMP tool
- RMS roughness - Root-mean-square surface height variation
Surface Treatment
- Plasma cleaning - Removing organics with reactive plasma
- Wet cleaning - Liquid chemical cleaning
- Getter - Material that absorbs residual gases (Ti, Zr)
- Passivation - Protective coating to prevent oxidation/corrosion
- Oxidation - Formation of oxide layer (usually unwanted on Cu)
Speech Content
Surface preparation and cleaning in semiconductor manufacturing. Key concepts include surface roughness, Chemical Mechanical Polishing or CMP, wet and plasma cleaning, passivation, and getters. These form the foundation of defect-free device fabrication.
Introduction to Core Concepts and Terms
We're covering surface science fundamentals, Chemical Mechanical Polishing, cleaning chemistries, plasma treatments, passivation techniques, and getter materials. You'll learn about surface roughness measured in nanometers RMS, atomic flatness at the single layer level, surface energy and contamination, native oxides, and particle defects. We'll explore CMP slurries and platens, wet cleaning methods like RCA clean, plasma cleaning mechanisms, and passivation strategies for copper and other metals. Finally, we'll discuss getter materials like titanium and zirconium for vacuum maintenance.
Surface Science Fundamentals
Surface roughness quantifies height variations on a wafer surface, measured as root mean square in nanometers. Modern devices at sub five nanometer nodes require surface roughness below zero point one nanometers RMS. Atomic flatness means the surface varies by no more than one atomic layer, roughly zero point three nanometers for silicon. This extreme flatness prevents electron scattering that degrades transistor performance and ensures uniform film growth in subsequent deposition steps.
Surface energy describes how reactive or wettable a surface is, measured in millijoules per square meter. Clean silicon with dangling bonds has very high surface energy around twelve hundred, but this drops to about fifty when hydrogen terminates those bonds. This property critically affects film adhesion, how photoresist wets the surface during lithography, and defect formation.
Surface contamination includes particles larger than twenty nanometers that can kill modern devices, organic residues from photoresist or hydrocarbons, metallic impurities like iron or copper that create electronic traps, and native oxides. At advanced nodes, contamination must be kept below zero point one defects per square centimeter on three hundred millimeter wafers.
Native oxide forms spontaneously when silicon contacts air or water. Within seconds, a one to two nanometer layer of silicon dioxide appears. This must be removed before critical steps like epitaxial growth, gate oxide formation, or metal deposition. Copper is particularly problematic because copper oxide is thicker and less protective than silicon dioxide and degrades electrical contacts.
Particles represent a major yield killer. The critical particle size is roughly one third the minimum feature size. At the three nanometer node, particles larger than one nanometer can be fatal. Sources include slurry residue from CMP, chemical precipitates, tool wear, airborne contamination, and electrostatic discharge. Cleanrooms must maintain Class 1 standards, meaning no more than one particle larger than zero point five micrometers per cubic foot.
Chemical Mechanical Polishing
CMP achieves planarization through combined chemical etching and mechanical abrasion. The Preston equation describes this: removal rate equals the Preston coefficient times pressure times velocity. Typical pressures range from one to five pounds per square inch. The chemical component involves oxidation or complexation of the surface material. The mechanical component uses abrasive nanoparticles in a slurry, like silica, ceria, or alumina, which remove the oxidized material.
There are several CMP types. Oxide CMP uses fumed silica particles twelve to two hundred nanometers in size suspended in potassium hydroxide at pH ten to eleven, achieving removal rates of one hundred to three hundred nanometers per minute. Tungsten CMP is a three step process for bulk tungsten removal, barrier layer removal, and buffing. The slurry contains hydrogen peroxide as an oxidizer, iron nitrate, and alumina abrasives at pH three to four. Organic acids act as complexing agents to dissolve tungsten oxide.
Copper CMP is the most challenging due to dishing, where copper recesses into trenches, and erosion, where dielectric thins over dense features. A dual stage process first removes copper with hydrogen peroxide plus glycine or BTA as complexing agents, then removes the barrier layer of tantalum or tantalum nitride. BTA, which stands for benzotriazole, inhibits copper corrosion. Achieving the right selectivity is critical, ideally one hundred to ten to one for copper to tantalum to oxide.
Slurry chemistry is complex. It includes zero point five to five percent abrasive particles by weight, pH adjusters, oxidizers, complexing agents, surfactants, and corrosion inhibitors. Slurry stability is critical because agglomeration ruins uniformity. Major suppliers include Cabot, DuPont, and Fujimi. Costs range from fifty to two hundred dollars per liter depending on formulation, with about one liter consumed per wafer at advanced nodes.
The platen is a rotating disk that uses grooved polyurethane pads. Pad conditioning with a diamond disk maintains consistent surface texture. Pad life is typically two hundred to five hundred wafers. A retaining ring prevents edge effects. Major tool suppliers include Applied Materials with their Reflexion system, Ebara, and LAM Research. Each tool costs three to five million dollars.
CMP defects include scratches from agglomerated particles or pad debris, residue from slurry particles embedded in the surface, dishing, erosion, and delamination from poor adhesion. Post CMP cleaning is absolutely critical. Process control uses in situ endpoint detection with optical or eddy current sensors and ex situ metrology measuring film thickness and surface roughness. Within wafer non uniformity must stay below two percent, wafer to wafer below three percent.
Wet Cleaning
The RCA clean, developed at RCA Laboratories in nineteen sixty five, remains the industry standard. Standard Clean 1, or SC 1, uses ammonium hydroxide, hydrogen peroxide, and water in ratios of one to one to five up to one to two to seven at seventy to eighty degrees Celsius for ten minutes. This removes particles and organics through oxidation and electrostatic repulsion. The high pH creates a negative charge on both silicon and particles, causing them to repel. This step grows about one nanometer of oxide.
Standard Clean 2, or SC 2, uses hydrochloric acid, hydrogen peroxide, and water in a one to one to six ratio at seventy to eighty degrees Celsius for ten minutes. This removes metals by forming complexes with hydrochloric acid while minimizing oxide growth. Between these steps, an HF dip with dilute HF removes the oxide, typically fifty to one or one hundred to one HF to water for ten to thirty seconds, leaving a hydrogen terminated hydrophobic surface.
Alternatives include SPM or sulfuric acid peroxide mix, which is sulfuric acid and hydrogen peroxide in a four to one ratio at one hundred twenty to one hundred fifty degrees Celsius. This aggressively removes organics without adding particles. Megasonic cleaning uses zero point eight to two megahertz ultrasonic agitation to enhance particle removal without causing damage. Lower frequency causes cavitation that pits the surface. Ozonated deionized water dissolves ozone in ultrapure water to oxidize organics at room temperature, reducing chemical consumption.
Chemicals must meet ultrapure standards with parts per trillion metal impurities. They're delivered via specialized PFA or PTFE piping. Major suppliers include BASF, KMG, and Honeywell. Waste treatment requires neutralization and reclamation, like sulfuric acid reconcentration. Brush cleaning with PVA or polyvinyl alcohol brushes scrubs surfaces post CMP, though non contact methods like acoustic or cryogenic aerosol are replacing these in some applications.
Plasma Cleaning
Plasma cleaning uses reactive species like atomic oxygen, fluorine, or hydrogen to break carbon carbon and carbon hydrogen bonds. Ion bombardment provides physical sputtering. Typical gases include oxygen, carbon tetrafluoride, hydrogen, or argon. Remote plasma configurations avoid ion damage by using radicals only. Chamber pressures range from one hundred millitorr to five torr with RF power from one hundred to five hundred watts.
Applications include pre deposition cleaning to remove native oxide and organics, photoresist ashing where oxygen plasma converts resist to carbon dioxide and water at one to five micrometers per minute, post etch residue removal for polymer sidewalls, and chamber cleaning between wafers. Equipment includes in line plasma chambers and standalone ashers using axial or downstream plasma configurations. Tools cost one to three million dollars.
Damage concerns include charge buildup causing gate oxide damage, physical sputtering roughening the surface, and hydrogen incorporation affecting electrical properties. Careful process design mitigates these issues.
Passivation
Passivation prevents oxidation, corrosion, and contamination on exposed surfaces. This is critical for copper, which oxidizes readily, though aluminum naturally forms a protective aluminum oxide layer. Methods include dielectric capping where silicon nitride or silicon carbon nitride is deposited via PECVD or ALD immediately after copper CMP at twenty to fifty nanometer thickness, creating a hermetic seal.
Self assembled monolayers or SAMs use organic molecules like thiols or BTA that chemisorb onto copper, creating a hydrophobic barrier for temporary protection between process steps. Metal capping with cobalt tungsten phosphide via electroless plating provides a conductive cap on copper that prevents oxidation and improves electromigration resistance.
For lunar manufacturing, native oxide formation is negligible in the lunar vacuum at ten to the negative twelve torr. Passivation would primarily protect materials during transport between vacuum chambers if not maintaining ultra high vacuum, or during device packaging if exposed to atmosphere. You could potentially eliminate passivation entirely if the full process maintains UHV and final packages are evacuated. This dramatically simplifies processing by eliminating capping layers on interconnects, though SAM or BTA protection might still help between wet process steps if water is used at all.
For a Western fab competing with TSMC, passivation materials and precursors for silicon nitride or silicon carbon nitride are readily available. SAM chemistry is straightforward. The key challenge is minimizing time between copper CMP and capping to under one hour to prevent oxidation. Cluster tools integrating CMP, cleaning, drying, and capping in a single system address this. In a vacuum maintained process, you eliminate air exposure entirely and skip passivation, but this requires wafer transfer in vacuum or inert atmosphere.
Getters
Getters absorb residual gases like oxygen, water, nitrogen, and carbon monoxide in vacuum systems or sealed packages. They're critical for maintaining ultra high vacuum during processing or operation. Titanium reacts with oxygen, nitrogen, and hydrogen and can be evaporated or sublimated. Activation temperature is two hundred fifty to four hundred degrees Celsius. Zirconium is similar but has higher capacity and is used in non evaporable getter or NEG pumps. Barium is highly reactive and was used historically in vacuum tubes but is now less common because it contaminates silicon.
Applications include UHV chamber pumping where NEG pumps supplement turbomolecular pumps to achieve pressures below ten to the negative ten torr. In MEMS packaging, a getter wafer bonded inside the package maintains vacuum over the device lifetime. For lunar processing, titanium or zirconium films deposited in chambers could absorb outgassing from wafers or tools. The lunar vacuum is already excellent, but getters handle water desorption from imported materials. Major suppliers include SAES Getters in Italy, which dominates the market, and Entegris. NEG pumps cost five to twenty thousand dollars depending on capacity.
Industry Structure and Economics
The slurry market is two billion dollars annually growing at fifteen percent per year. It's an oligopoly with Cabot holding thirty percent share, DuPont and Fujimi each at twenty percent, and Hitachi Chemical also significant. Margins are high at thirty to forty percent because formulation intellectual property is critical and switching costs are high, with requalification taking six to twelve months. There's an opportunity for AI designed slurries optimizing removal rate, selectivity, and defectivity. High throughput experimentation using robotics to prepare and test hundreds of formulations per week could accelerate development.
The cleaning chemicals market is five billion dollars. Commodity acids and bases cost one to five dollars per liter while specialized formulations run fifty to five hundred dollars per liter. Suppliers include BASF, KMG, Honeywell, and Mitsubishi Chemical. On site generation, like electrolytic hydrogen peroxide, reduces costs and logistics. For Western manufacturing, there's opportunity in localized supply chains since production is currently Asia heavy.
The CMP tools market is one point five billion dollars with Applied Materials holding fifty percent share, Ebara thirty percent, and LAM fifteen percent. Barriers to entry are high due to requirements for mechanical precision with vibration below one nanometer, chemical compatibility, and automation. These tools are service intensive, requiring consumables and maintenance. Opportunities exist in AI process control for real time optimization of slurry flow, pressure, and velocity, plus predictive maintenance.
Metrology is critical for CMP endpoint detection and post clean verification. KLA Tencor provides optical defect inspection tools costing five to fifteen million dollars. Bruker offers AFM for research and development. Onto Innovation supplies ellipsometry equipment. There's a trade off between inline and offline metrology balancing throughput versus accuracy. AI can correlate in situ sensor data with ex situ metrology to reduce sampling requirements.
Talent requirements include surface science PhDs in chemistry, materials science, or physics for slurry and chemistry development. Mechanical engineers design CMP tools. Process engineers handle integration. Strong programs exist at MIT, Stanford, UC Berkeley, IMEC in Belgium, Fraunhofer in Germany, and Tohoku University in Japan. Recruiting is challenging because this is a specialized field with limited graduates. Training takes two to three years to become proficient.
Technical Challenges and Opportunities
For CMP at advanced nodes below three nanometers, reduced pattern density increases sensitivity to dishing and erosion. This requires AI designed dummy fill patterns. Selectivity targets need to exceed one hundred to one to one for copper to barrier to dielectric, up from the current fifty to five to one. This demands slurry innovation with selective inhibitors. Defectivity now concerns particles below five nanometers, requiring real time particle monitoring and closed loop control.
Dry cleaning eliminates water, which introduces particles and metallic contamination even from deionized sources. Supercritical carbon dioxide has solvent properties without surface tension, preventing particle redeposition, but equipment costs two to four million dollars and adoption is slow due to throughput and cost concerns. Cryogenic aerosol uses argon or nitrogen clusters that sublime contaminants without wet chemistry. All plasma processes use sequential plasma steps to replace wet cleaning, though damage and throughput remain challenges. Dry cleaning enables a vacuum maintained fab. On the moon, it eliminates the need for water, a scarce volatile. On Earth, it reduces chemical waste.
AI integration offers multiple opportunities. For slurry formulation, generative models can design molecules like inhibitors and surfactants, combined with high throughput robotic screening, reducing development time from twelve months to under three months. Process optimization uses reinforcement learning to optimize CMP pressure and velocity profiles wafer by wafer based on incoming topography measured via optical scatterometry. Defect classification employs vision models to classify defects as scratches versus particles versus residue and trace root causes, eliminating the manual review bottleneck. Predictive maintenance uses sensor fusion of vibration, acoustic, and pressure data to predict pad and consumable lifetime and schedule replacement before failure.
Vacuum maintained processing maintains wafers in ultra high vacuum from bare silicon through packaging, replacing cleanroom environments. This eliminates most particle sources from airborne dust, prevents native oxide formation, and reduces cleaning steps by avoiding organic contamination from air. The challenge is that wet processes like CMP and RCA clean break vacuum. Solutions include dry CMP using electrochemical etching plus mechanical polishing in non aqueous solutions, or localized wet processing with rapid drying and vacuum transfer. Electro CMP has been demonstrated in labs at IBM and IMEC but isn't production ready. Cluster tools with vacuum transfer are already used for deposition, etch, and anneal and could extend to all steps, keeping wafers below ten to the negative six torr except during minimal wet clean exposure. On the moon, the ambient environment is already ultra high vacuum, requiring only airlocks for human access and chemical delivery, with no cleanroom HVAC needed.
Cold welding in vacuum occurs when metal surfaces like copper or aluminum weld at room temperature in UHV due to lack of native oxide barriers. This is a problem for robotics and wafer handling but an opportunity for chiplet bonding, eliminating traditional soldering or bump bonding. For surface preparation, maintaining full UHV keeps copper surfaces oxide free and reactive, enabling cold welding at room temperature. This requires surface roughness below zero point five nanometers and cleanliness below one nanometer. The opportunity is chiplet stacking with no thermal budget, preserving device integrity. This was explored by Planetary Resources for asteroid mining mechanics and could apply to semiconductor bonding.
A simplified lunar process could start with bare silicon from local mineral processing, though less pure than Earth sources. Plasma clean removes organics from handling without initial wet cleaning. All layer deposition uses PVD or CVD in UHV. Dry etching maintains UHV. You could skip CMP where possible by using conformal deposition instead of damascene copper, depositing conformally via ALD. The trade off is more deposition time but elimination of CMP tools, slurries, and chemicals. No passivation is needed since UHV is maintained. Final packaging seals the wafer in a metal can under vacuum, eliminating the need for hermetic ceramic packages. The result eliminates RCA clean, minimizes or eliminates CMP, and eliminates passivation, reducing chemical inventory by eighty percent. You still need plasma gases like argon, oxygen, and carbon tetrafluoride, plus precursors like silane and titanium tetrachloride. For volatiles, argon can be recycled in a closed loop, oxygen extracted from regolith, but carbon tetrafluoride requires fluorine, which is scarce on the moon and must be imported or extracted from apatite.
Historical approaches worth revisiting include anodic oxidation for planarization, used before CMP. This electrochemical etching of metals like copper and tungsten provides material removal without slurry but was abandoned due to uniformity issues. Modern closed loop control with AI driven current adjustment per die could revive this. Thermal annealing for smoothing involved reflowing glass like BPSG for planarization before CMP existed but was limited to low melting materials. Combining this with selective deposition that only fills recesses could enable planarization without CMP. Plasma etching for planarization via ion milling erodes higher points faster but is slow with redeposition issues. Modern ICP sources plus real time interferometry could enable practical plasma CMP.
Academic and industry research areas include atomic layer etching or ALE, which removes material layer by layer at zero point one nanometers per cycle using plasma or thermal methods. This provides ultimate control for sub three nanometer nodes and is being developed by Intel, IMEC, and LAM Research, potentially replacing CMP for sub one nanometer nodes around twenty thirty. Electrochemical mechanical polishing is a hybrid process applying bias during CMP that reduces mechanical force, decreasing defects and increasing selectivity. SEMATECH has studied this but it's not yet in production. Self assembling planarization uses flowable oxides that self level via surface tension then cure, eliminating CMP for certain inter layer dielectric layers. Applied Materials is developing this. AI slurry real time adjustment uses sensors like optical emission spectroscopy and pressure feeding AI models to adjust slurry flow and composition in real time. A waterless fab using all cleaning via supercritical fluids, plasmas, and aerosols could drastically reduce water consumption, currently two million gallons per day at a typical fab, and chemical waste, while enabling vacuum maintained processing.
Robotics and Automation
Current CMP tools are highly automated for wafer loading, slurry delivery, pad conditioning, and unloading. Wet benches are moving toward full automation with batch spray tools and single wafer spin processors. Metrology is mostly automated with inline optical tools. With advanced robotics, slurry formulation could be handled by robotic chemists using UR 10 arms and liquid handlers to prepare formulations, test on coupons, measure results with AFM and profilometry, feed data to AI, and iterate, achieving one hundred times faster R and D.
Consumable replacement for pads, brushes, and filters could be performed by dexterous robots. Currently a technician changes pads every two hundred wafers with thirty minutes downtime. A robot could do this in five minutes, potentially predictively before failure. Defect review would have wafer inspection identify defect coordinates, then a robot loads AFM or SEM, scans the defect, and AI classifies it, eliminating the manual SEM review bottleneck. Process development using multi arm robots performing Design of Experiments twenty four seven could compress six month process development to two weeks, running clean wafer, process, measure, iterate cycles continuously.
Maintenance robots could perform routine cleaning like chamber wipe downs and parts swaps, reducing human cleanroom entry, which is a particle source. Economically, CMP tools costing three to five million dollars with throughput of sixty wafers per hour could see thirty percent cost of ownership reduction by doubling throughput via better automation for faster load unload and parallel pad conditioning. Robotics enables lights out operation, reducing three shifts to one for monitoring.
For lunar operations, teleoperation handles complex tasks despite six second latency, which is manageable for non real time work. Robots operate in vacuum without cleanroom suits or contamination concerns. Redundancy is critical since replacing broken robots is difficult. Modular design allowing robots to swap arms and end effectors via other robots is essential. Total fab automation is easier on the moon with no legacy equipment and greenfield design possibilities.
Summary of Core Concepts
We covered surface roughness and atomic flatness requirements below zero point one nanometers RMS for advanced nodes. Surface energy affects wettability and adhesion. Surface contamination from particles, organics, metals, and native oxides must be minimized below zero point one defects per square centimeter. Chemical Mechanical Polishing combines chemical and mechanical action via slurries containing abrasive nanoparticles, with distinct processes for oxide, tungsten, and copper, each requiring specific chemistries and selectivities. CMP tools cost three to five million dollars with complex consumables like polyurethane pads and slurries costing fifty to two hundred dollars per liter.
Wet cleaning uses RCA Standard Clean 1 and 2 developed in nineteen sixty five, still the industry standard, with alternatives like sulfuric acid peroxide mix and ozonated water. Plasma cleaning removes organics and residues using reactive species from oxygen, fluorine, or hydrogen plasmas. Passivation protects surfaces from oxidation, critical for copper, using dielectric capping, self assembled monolayers, or metal capping. In lunar UHV environments, passivation could potentially be eliminated entirely.
Getters like titanium and zirconium maintain vacuum by absorbing residual gases, critical for UHV systems and sealed packages. The industry includes a two billion dollar slurry market dominated by Cabot, DuPont, and Fujimi with high margins and switching costs. Five billion dollar cleaning chemical markets span commodity to specialized formulations. CMP tool market at one point five billion is dominated by Applied Materials, Ebara, and LAM.
Opportunities include AI designed slurries and processes, dry cleaning methods eliminating water and enabling vacuum maintained fabs, electrochemical mechanical polishing, atomic layer etching for sub one nanometer nodes, and full fab automation with advanced robotics. For lunar manufacturing, simplified processing eliminates wet cleaning and passivation, leveraging ambient UHV. For Western fabs, vacuum maintained cluster tools and AI process control offer paths to competitive advantage. Advanced robotics enable one hundred times faster R and D, lights out operation, and compressed process development from months to weeks.
Technical Overview
Surface Preparation & Cleaning: Technical Deep Dive
Surface Science Fundamentals
Surface Roughness & Atomic Flatness: Surface roughness quantifies height variations via RMS (root-mean-square) measurement, typically in nanometer scale. For modern semiconductor devices (<5nm nodes), sub-0.1nm RMS is required. Atomic flatness means surface variation ≤ one atomic layer (~0.3nm for Si). Roughness causes scattering (mobility degradation), line edge roughness (LER) in patterning, and non-uniform film growth. Measured via AFM (atomic force microscopy), STM (scanning tunneling microscopy), or optical scatterometry.
Surface Energy: Thermodynamic quantity (mJ/m²) describing tendency for surface atoms to bond with adsorbates. High surface energy = reactive/wettable. Silicon: ~1200 mJ/m² (dangling bonds), drops to ~50 mJ/m² with hydrogenation. Critical for film adhesion, wetting behavior in lithography/cleaning, and defect formation. Measured via contact angle goniometry.
Surface Contamination: Includes particles (>20nm kills modern devices), organic residues (photoresist, hydrocarbons), metallic impurities (Fe, Cu, Na cause electronic traps), and native oxides. Contamination density must be <0.1 defects/cm² for 300mm wafers at advanced nodes. Sources: airborne particles, chemical impurities, process residues, tool-induced contamination.
Native Oxide: SiO₂ forms spontaneously on Si in air/water within seconds, growing to ~1-2nm asymptotically. Growth kinetics: Deal-Grove model (linear-parabolic). Must be removed before epitaxy, gate oxide growth, or metal deposition. On Cu, native oxide (CuO, Cu₂O) is problematic—thicker, less protective than SiO₂, degrades electrical contact.
Particles: Major yield limiter. Critical particle size = ~1/3 minimum feature size. At 3nm node, particles >1nm are potentially fatal. Sources: slurry residue, chemical precipitates, tool wear, airborne contamination, electrostatic discharge. Cleanroom class requirements: Class 1 (≤1 particle >0.5µm per cubic foot).
Chemical-Mechanical Polishing (CMP)
Process Physics: CMP achieves planarization through combined chemical (etching) and mechanical (abrasion) action. Preston equation: Removal Rate = k_p × P × V, where k_p is Preston coefficient, P is pressure (1-5 psi), V is relative velocity. Chemical component: oxidation or complexation; mechanical: abrasive nanoparticles (SiO₂, CeO₂, Al₂O₃) in slurry remove oxidized material.
CMP Types:
- Oxide CMP: For STI (shallow trench isolation), ILD (inter-layer dielectric). Slurry: fumed silica (12-200nm) + KOH, pH 10-11. Removal rates: 100-300nm/min.
- Poly-Si CMP: For gate planarization. Similar to oxide but adjusted pH.
- Tungsten CMP: Three-step (bulk W removal, barrier layer, buff). Slurry: H₂O₂ oxidizer + Fe(NO₃)₃ + alumina abrasive, pH 3-4. Complexing agents (organic acids) dissolve WO₃.
- Cu CMP: Most challenging due to dishing, erosion. Dual-stage: (1) Cu removal with H₂O₂ + glycine/BTA complexing agent, (2) barrier (Ta/TaN) removal. Slurry pH ~4. BTA (benzotriazole) inhibits corrosion. Selectivity critical (Cu:Ta:oxide = 100:10:1).
Slurry Chemistry: Abrasive particles (0.5-5% wt), pH adjusters, oxidizers, complexing agents, surfactants, corrosion inhibitors. Slurry stability critical—agglomeration ruins uniformity. Suppliers: Cabot, DuPont, Fujimi. Cost: $50-200/liter depending on formulation. Consumption: ~1L per wafer for advanced nodes.
Platen & Consumables: Platen (rotating disk) uses grooved polyurethane pads (IC1000, Politex). Pad conditioning with diamond disk maintains consistent asperity distribution. Pad life: 200-500 wafers. Retaining ring prevents edge effects. Major tool suppliers: Applied Materials (Reflexion), Ebara, LAM Research. Tool cost: $3-5M each.
Defects: Scratches (from agglomerated particles, pad debris), residue (slurry particles embedded in surface), dishing (Cu recesses in trenches), erosion (dielectric thinning over dense features), delamination (poor adhesion). Post-CMP cleaning critical.
Process Control: In-situ endpoint detection (optical/eddy current), ex-situ metrology (film thickness, surface roughness via ellipsometry, profilometry). Within-wafer non-uniformity (WIWNU) <2%, wafer-to-wafer <3%.
Wet Cleaning
RCA Clean (Standard Clean 1 & 2): Developed at RCA Laboratories 1965, still industry standard.
- SC-1: NH₄OH:H₂O₂:H₂O (1:1:5-1:2:7) at 70-80°C, 10min. Removes particles and organics via oxidation and electrostatic repulsion (high pH creates negative zeta potential on Si and particles). Grows ~1nm oxide.
- SC-2: HCl:H₂O₂:H₂O (1:1:6) at 70-80°C, 10min. Removes metals (complexes with HCl). Minimizes new oxide growth.
- HF dip (DHF, dilute HF): Removes oxide between steps. 50:1 or 100:1 HF:H₂O, 10-30sec. Leaves H-terminated hydrophobic surface.
Alternatives:
- SPM (Sulfuric Acid-Peroxide Mix): H₂SO₄:H₂O₂ (4:1) at 120-150°C. Aggressive organic removal, no particles. Piranha solution variant.
- APM (Ammonium Hydroxide-Peroxide Mix): SC-1 variant with optimized ratios.
- Megasonic cleaning: 0.8-2 MHz ultrasonic agitation enhances particle removal without damage. Cavitation at lower frequency causes pitting.
- Ozonated DI water: O₃ dissolved in ultrapure water oxidizes organics at room temperature. Lower chemical consumption.
Chemical Delivery: Ultrapure chemicals (SEMI C1-C10 grade, ppt metal impurities). Delivered via specialized piping (PFA, PTFE). Suppliers: BASF, KMG, Honeywell. Waste treatment: neutralization, reclaim (H₂SO₄ reconcentration).
Brush Cleaning: PVA (polyvinyl alcohol) brush scrubbers for post-CMP. Soft nodular brushes + chemistry. Replaced in some cases by non-contact methods (acoustic, cryogenic aerosol).
Plasma Cleaning
Mechanism: Reactive species (O, F, H*) break C-C, C-H bonds. Ion bombardment provides physical sputtering. Typical gases: O₂, CF₄, H₂, Ar. Remote plasma avoids ion damage (radicals only). Chamber pressure: 100mTorr-5Torr. RF power: 100-500W.
Applications:
- Pre-deposition cleaning (removes native oxide, organics)
- Photoresist ashing (O₂ plasma converts resist to CO₂, H₂O). Ash rate: 1-5µm/min.
- Post-etch residue removal (polymer sidewalls)
- Chamber cleaning (in-situ conditioning between wafers)
Equipment: In-line plasma chambers (LAM Coronus, TEL Cellesta), standalone ashers (axial/downstream plasma). Tool cost: $1-3M.
Damage Concerns: Charge buildup (gate oxide damage), physical sputtering (surface roughening), hydrogen incorporation (affects electrical properties).
Passivation
Purpose: Prevent oxidation, corrosion, contamination on exposed surfaces. Critical for Cu (oxidizes readily), Al (though Al₂O₃ is self-passivating).
Methods:
- Dielectric capping: SiN, SiCN deposited via PECVD/ALD immediately after Cu CMP. 20-50nm thickness. Hermetic seal.
- Self-assembled monolayers (SAMs): Organic molecules (thiols, BTA) chemisorb on Cu, creating hydrophobic barrier. Used as temporary protection between process steps.
- Metal capping: CoWP (electroless plating) provides conductive cap on Cu, prevents oxidation, improves electromigration.
Moon Considerations: Native oxide formation negligible in lunar vacuum (~10⁻¹² Torr). Passivation primarily for: (1) protection during transport between vacuum chambers if not maintaining UHV, (2) device packaging if exposed to any atmosphere during assembly. Could potentially eliminate passivation entirely if full process maintained in UHV and final package evacuated. This simplifies process significantly—no need for capping layers on interconnects. However, SAM/BTA protection may still be useful between wet process steps and drying (if water is used at all).
Western Fab Perspective: Passivation materials (precursors for SiN, SiCN) readily available. SAM chemistry straightforward. Key challenge: minimizing time between Cu CMP and capping (<1hr) to prevent oxidation. Cluster tools integrating CMP, clean, dry, cap in single system. If vacuum-maintained process: eliminate air exposure entirely, skip passivation. Requires wafer transfer in vacuum or inert atmosphere.
Getters
Function: Absorb residual gases (O₂, H₂O, N₂, CO) in vacuum systems or sealed packages. Critical in maintaining UHV or inert atmosphere during processing/operation.
Materials:
- Ti: Reacts with O₂, N₂, H₂. Evaporated or sublimated getter. Activation temperature: 250-400°C.
- Zr: Similar to Ti, higher capacity. Used in NEG (non-evaporable getter) pumps.
- Ba: Highly reactive, used in vacuum tubes historically. Now less common (contaminates Si).
Applications:
- UHV chamber pumping (NEG pumps supplement turbomolecular pumps). Achieve <10⁻¹⁰ Torr.
- MEMS packaging: Getter wafer bonded inside package maintains vacuum over device lifetime.
- Could be integrated into lunar processing: Ti or Zr films deposited in chambers absorb outgassing from wafers/tools. Lunar vacuum already excellent, but getters handle water desorption from imported materials/wafers.
Suppliers: SAES Getters (Italy, dominant), Entegris. NEG pumps: $5-20k depending on capacity.
Industry Structure & Economics
Slurry Market: $2B annually, 15% CAGR. Oligopoly: Cabot (30%), DuPont/Fujimi (20% each), Hitachi Chemical. High margins (30-40%). Formulation IP critical. Switching costs high (requalification 6-12 months). Opportunity: AI-designed slurries optimizing removal rate, selectivity, defectivity. High-throughput experimentation (robotics prepare/test 100s of formulations/week).
Cleaning Chemicals: $5B market. Commodity acids/bases ($1-5/L) vs specialized formulations ($50-500/L). Suppliers: BASF, KMG, Honeywell, Mitsubishi Chemical. On-site generation (e.g., electrolytic H₂O₂) reduces costs, logistics. Western opportunity: localized supply chains (currently Asia-heavy).
CMP Tools: $1.5B market. Applied Materials (50% share), Ebara (30%), LAM (15%). High barriers: mechanical precision (vibration <1nm), chemical compatibility, automation. Service-intensive (consumables, maintenance). Opportunity: AI process control (real-time slurry flow, pressure, velocity optimization), predictive maintenance.
Metrology: Critical for CMP endpoint, post-clean verification. KLA-Tencor (optical defect inspection, $5-15M tools), Bruker (AFM for R&D), Onto Innovation (ellipsometry). Inline vs offline trade-off (throughput vs accuracy). AI opportunity: correlate in-situ sensor data with ex-situ metrology, reduce sampling.
Talent: Surface science PhDs (chemistry, materials, physics) for slurry/chemistry development. Mechanical engineers for CMP tool design. Process engineers for integration. Strong programs: MIT, Stanford, UC Berkeley, IMEC (Belgium), Fraunhofer (Germany), Tohoku (Japan). Recruiting challenge: specialized field, limited graduates. Training: 2-3 years to become proficient.
Technical Challenges & Opportunities
CMP for Advanced Nodes:
- Sub-3nm: Reduced pattern density, increased dishing/erosion sensitivity. Requires dummy fill optimization (AI-designed fill patterns).
- Selectivity: Cu:barrier:dielectric. Current ~50:5:1, need >100:1:1. Requires slurry innovation (selective inhibitors).
- Defectivity: Particles <5nm now matter. Need real-time particle monitoring, closed-loop control.
Dry Cleaning: Eliminate water (particles, metallic contamination from DI water). Approaches:
- Supercritical CO₂: Solvent properties without surface tension (prevents particle re-deposition). Equipment: $2-4M. Adoption slow (throughput, cost).
- Cryogenic aerosol: Argon or nitrogen clusters sublime contaminants. No wet chemistry.
- All-plasma process: Sequential plasma steps replace wet clean. Challenge: damage, throughput.
- Opportunity: Dry cleaning enables vacuum-maintained fab. On moon, eliminates need for water (scarce volatile). On Earth, reduces chemical waste.
AI Integration:
- Slurry formulation: Generative models design molecules (inhibitors, surfactants) + high-throughput robotic screening. Reduce development time from 12 months to <3 months.
- Process optimization: Reinforcement learning optimizes CMP pressure/velocity profiles wafer-by-wafer based on incoming topography (measured via optical scatterometry).
- Defect classification: Vision models classify defects (scratch vs particle vs residue), trace root cause. Current manual review is bottleneck.
- Predictive maintenance: Sensor fusion (vibration, acoustic, pressure) predicts pad/consumable lifetime, schedules replacement pre-failure.
Vacuum-Maintained Processing: Instead of cleanroom (Class 1, HEPA filters, air showers), maintain wafers in UHV from bare Si through packaging.
- Eliminates most particle sources (airborne dust).
- Eliminates native oxide formation.
- Reduces cleaning steps (no organics from air).
- Challenge: Wet processes (CMP, RCA clean) break vacuum. Requires: (1) Dry CMP (electro-CMP using electrochemical etching + mechanical polishing in non-aqueous solution, or (2) Localized wet process with rapid drying + vacuum transfer. Electro-CMP demonstrated in labs (IBM, IMEC), not production-ready.
- Cluster tools with vacuum transfer: Already used for deposition (PVD/CVD), etch, anneal. Extend to all steps. Wafer never sees >10⁻⁶ Torr except during wet clean (minimize exposure).
- Moon advantage: Ambient is already UHV. Only need airlocks for human access, chemical delivery. No cleanroom HVAC.
Cold Welding in Vacuum: Metal surfaces (Cu, Al) cold weld in UHV due to lack of native oxide barrier. Problem for robotics (wafer handling), opportunity for chiplet bonding (eliminate traditional soldering/bump bonding). For surface prep: if full UHV maintained, Cu surfaces remain oxide-free (reactive), enable cold welding at room temp. Requires <0.5nm roughness, <1nm cleanliness. Opportunity: chiplet stacking with no thermal budget (preserve device integrity). Planetary Resources explored for asteroid mining mechanics; apply to semiconductor bonding.
Simplified Lunar Process:
1. Start with bare Si (local mineral processing, less pure than Earth).
2. Plasma clean (remove organics from handling). No wet clean needed initially.
3. Deposit layers (all PVD/CVD in UHV).
4. Dry etch (plasma, UHV-maintained).
5. Skip CMP where possible: use conformal deposition instead of damascene Cu. Conformal via ALD. Trade-off: more deposition time, but eliminates CMP tool/slurry/chemicals.
6. No passivation needed (UHV maintained).
7. Final package: seal wafer in metal can under vacuum. No hermetic ceramic packages needed.
- Result: Eliminate RCA clean, CMP (or minimize), passivation. Reduce chemical inventory by 80%. Still need: plasma gases (Ar, O₂, CF₄), precursors (SiH₄, TiCl₄, etc.). Volatiles challenge: Ar abundant in Earth imports (can recycle in closed loop). O₂ from regolith. CF₄ requires F (scarce on moon, import or extract from apatite).
Historical Approaches Worth Revisiting:
- Anodic oxidation for planarization (pre-CMP era): Electrochemical etching of metal (Cu, W) provides material removal without slurry. Abandoned due to uniformity issues. Modern closed-loop control (AI-driven current adjustment per die) could revive.
- Thermal annealing for smoothing: Reflow glass (BPSG) for planarization before CMP existed. Limited to low-melting materials. Could combine with selective deposition (only fill recesses) for planaization without CMP.
- Plasma etching for planarization: Ion milling provides planarization (higher points erode faster). Slow, re-deposition issues. Modern ICP sources + real-time interferometry could enable practical plasma CMP.
Academic/Industry Research:
- Atomic layer etching (ALE): Layer-by-layer removal (0.1nm/cycle). Plasma or thermal. Ultimate control for <3nm nodes. Intel, IMEC, LAM Research developing. Could replace CMP for <1nm nodes (~2030).
- Electrochemical-mechanical polishing: Hybrid process (bias applied during CMP). Reduces mechanical force (less defects), increases selectivity. SEMATECH studies, not in production.
- Self-assembling planarization: Flowable oxides that self-level via surface tension, then cure. Eliminates CMP for certain ILD layers. Applied Materials developing.
- AI slurry real-time adjustment: Sensors (OES, pressure) → AI model → adjust slurry flow/composition in real-time.
- Waterless fab: All cleaning via supercritical fluids, plasmas, aerosols. Drastically reduces water consumption (current fab uses 2M gallons/day), chemical waste. Enables vacuum-maintained process.
Robotics & Automation
Current State: CMP tools are highly automated (wafer loading, slurry delivery, pad conditioning, unloading). Wet benches moving toward full automation (batch spray tools, single-wafer spin processors). Metrology mostly automated (inline optical tools).
Future with Advanced Robotics:
- Slurry formulation: Robotic chemist (UR10 arms + liquid handlers) prepare formulations, test on coupons, measure results (AFM, profilometry), feed data to AI, iterate. 100x faster R&D.
- Consumable replacement: Pads, brushes, filters replaced by dexterous robots. Current: technician changes pad every 200 wafers (30min downtime). Robot: 5min, potentially predictive (before failure).
- Defect review: Wafer inspection identifies defect coordinates → robot loads AFM/SEM, scans defect, AI classifies. Eliminates manual SEM review bottleneck.
- Process development: Multi-arm robots perform Design of Experiments (DOE) 24/7. Clean wafer, run process, measure, iterate. Compress 6-month process development to 2 weeks.
- Maintenance: Robots perform routine cleaning (chamber wipe-down, parts swap). Reduce human entry to cleanroom (particle source).
- Economics: CMP tool costs $3-5M, throughput ~60 wafers/hr. Doubling throughput via better automation (faster load/unload, parallel pad conditioning) reduces CoO by 30%. Robotics enables lights-out operation (3 shifts → 1 for monitoring).
Moon-Specific: Teleoperation for complex tasks (6-sec latency manageable for non-realtime tasks). Robots operate in vacuum (no cleanroom suits, no contamination). Redundancy critical (hard to replace broken robot). Modular design (swap arms, end effectors via other robots). Total fab automation easier on moon (no legacy equipment, greenfield design).